00001 --**************************************************************
00002 --* *
00003 --* The source code for the ATLAS BCM "AAA" FPGA is made *
00004 --* available via the GNU General Public License (GPL) *
00005 --* unless otherwise stated below. *
00006 --* *
00007 --* In case of problems/questions/bug reports etc. please *
00008 --* contact michael.niegl@cern.ch *
00009 --* *
00010 --**************************************************************
00011
00012 --**************************************************************
00013 --* *
00014 --* $Source: /local/reps/bcmfpga/bcm_aaa/bcm_aaa/ddr2/ddr2_mem_data_path_iobs_0.vhd,v $
00015 --* $Revision: 1.3.2.4 $ *
00016 --* $Name: dev $ *
00017 --* $Author: mniegl $ *
00018 --* $Date: 2008/11/03 21:55:52 $ *
00019
00020
00021 --* *
00022 --**************************************************************
00023 -------------------------------------------------------------------------------
00024 -- Copyright (c) 2005 Xilinx, Inc.
00025 -- This design is confidential and proprietary of Xilinx, All Rights Reserved.
00026 -------------------------------------------------------------------------------
00027 -- ____ ____
00028 -- / /\/ /
00029 -- /___/ \ / Vendor: Xilinx
00030 -- \ \ \/ Version: 1.6
00031 -- \ \ Application : MIG
00032 -- / / Filename: ddr2_mem_data_path_iobs_0.vhd
00033 -- /___/ /\ Date Last Modified: Wed Jun 1 2005
00034 -- \ \ / \Date Created: Mon May 2 2005
00035 -- \___\/\___\
00036 --
00037 -- Device: Virtex-4
00038 -- Design Name: DDR2_V4
00039 -------------------------------------------------------------------------------
00040
00041
00042 library ieee;
00043
00044 use ieee.std_logic_1164.all;
00045
00046 use ieee.std_logic_unsigned.all;
00047
00048 use ieee.numeric_std.all;
00049 library work;
00050 use work.ddr2_mem_parameters_0.all;
00051
00052 library unisim;
00053
00054 use unisim.vcomponents.all;
00055
00056
00057
00058 entity ddr2_mem_data_path_iobs_0 is
00059 port (
00060 CLK : in ;
00061 CLK90 : in ;
00062 CAL_CLK : in ;
00063 RESET0 : in ;
00064 RESET90 : in ;
00065 dqs_idelay_inc : in (ReadEnable-1 downto 0);
00066 dqs_idelay_ce : in (ReadEnable-1 downto 0);
00067 dqs_idelay_rst : in (ReadEnable-1 downto 0);
00068 dqs_rst : in ;
00069 dqs_en : in ;
00070 dqs_delayed : out (data_strobe_width-1 downto 0);
00071 data_idelay_inc : in (ReadEnable-1 downto 0);
00072 data_idelay_ce : in (ReadEnable-1 downto 0);
00073 data_idelay_rst : in (ReadEnable-1 downto 0);
00074 wr_data_rise : in (data_width-1 downto 0);
00075 wr_data_fall : in (data_width-1 downto 0);
00076 mask_data_rise : in (data_mask_width-1 downto 0);
00077 mask_data_fall : in (data_mask_width-1 downto 0);
00078 wr_en : in ;
00079 DDR_DQ : inout (data_width-1 downto 0);
00080 DDR_DQS : inout (data_strobe_width-1 downto 0);
00081 DDR_DQS_L : inout (data_strobe_width-1 downto 0);
00082 DDR_DM : out (data_mask_width-1 downto 0);
00083 rd_data_rise : out (data_width-1 downto 0);
00084 rd_data_fall : out (data_width-1 downto 0)
00085 );
00086 end entity;
00087
00088
00089
00090 architecture arc_data_path_iobs of ddr2_mem_data_path_iobs_0 is
00091
00092
00093 component ddr2_mem_v4_dqs_iob
00094 port (
00095 CLK : in ;
00096 CAL_CLK : in ;
00097 RESET : in ;
00098 DLYINC : in ;
00099 DLYCE : in ;
00100 DLYRST : in ;
00101 CTRL_DQS_RST : in ;
00102 CTRL_DQS_EN : in ;
00103 DDR_DQS : inout ;
00104 DDR_DQS_L : inout ;
00105 DQS_RISE : out
00106 );
00107 end component;
00108
00109
00110 component ddr2_mem_v4_dq_iob
00111 port (
00112 CLK : in ;
00113 CLK90 : in ;
00114 CAL_CLK : in ;
00115 RESET : in ;
00116 DATA_DLYINC : in ;
00117 DATA_DLYCE : in ;
00118 DATA_DLYRST : in ;
00119 WRITE_DATA_RISE : in ;
00120 WRITE_DATA_FALL : in ;
00121 CTRL_WREN : in ;
00122 DDR_DQ : inout ;
00123 READ_DATA_RISE : out ;
00124 READ_DATA_FALL : out
00125 );
00126 end component;
00127
00128
00129 component ddr2_mem_v4_dm_iob
00130 port (
00131 CLK90 : in ;
00132 MASK_DATA_RISE : in ;
00133 MASK_DATA_FALL : in ;
00134 DDR_DM : out
00135 );
00136 end component;
00137
00138 begin
00139
00140
00141 -- ////////////////////////////////////////////////////////////////////////////////////////////////////////////////////
00142 --// DQS instances
00143 --////////////////////////////////////////////////////////////////////////////////////////////////////////////////////
00144
00145
00146 v4_dqs_iob0 : ddr2_mem_v4_dqs_iob
00147 port map (
00148 CLK => CLK,
00149 CAL_CLK => CAL_CLK,
00150 RESET => RESET0,
00151 DLYINC => dqs_idelay_inc(0),
00152 DLYCE => dqs_idelay_ce(0),
00153 DLYRST => dqs_idelay_rst(0),
00154 CTRL_DQS_RST => dqs_rst,
00155 CTRL_DQS_EN => dqs_en,
00156 DDR_DQS => DDR_DQS(0),
00157 DDR_DQS_L => DDR_DQS_L(0),
00158 DQS_RISE => dqs_delayed(0)
00159 );
00160
00161
00162 v4_dqs_iob1 : ddr2_mem_v4_dqs_iob
00163 port map (
00164 CLK => CLK,
00165 CAL_CLK => CAL_CLK,
00166 RESET => RESET0,
00167 DLYINC => dqs_idelay_inc(0),
00168 DLYCE => dqs_idelay_ce(0),
00169 DLYRST => dqs_idelay_rst(0),
00170 CTRL_DQS_RST => dqs_rst,
00171 CTRL_DQS_EN => dqs_en,
00172 DDR_DQS => DDR_DQS(1),
00173 DDR_DQS_L => DDR_DQS_L(1),
00174 DQS_RISE => dqs_delayed(1)
00175 );
00176
00177
00178 v4_dqs_iob2 : ddr2_mem_v4_dqs_iob
00179 port map (
00180 CLK => CLK,
00181 CAL_CLK => CAL_CLK,
00182 RESET => RESET0,
00183 DLYINC => dqs_idelay_inc(0),
00184 DLYCE => dqs_idelay_ce(0),
00185 DLYRST => dqs_idelay_rst(0),
00186 CTRL_DQS_RST => dqs_rst,
00187 CTRL_DQS_EN => dqs_en,
00188 DDR_DQS => DDR_DQS(2),
00189 DDR_DQS_L => DDR_DQS_L(2),
00190 DQS_RISE => dqs_delayed(2)
00191 );
00192
00193
00194 v4_dqs_iob3 : ddr2_mem_v4_dqs_iob
00195 port map (
00196 CLK => CLK,
00197 CAL_CLK => CAL_CLK,
00198 RESET => RESET0,
00199 DLYINC => dqs_idelay_inc(0),
00200 DLYCE => dqs_idelay_ce(0),
00201 DLYRST => dqs_idelay_rst(0),
00202 CTRL_DQS_RST => dqs_rst,
00203 CTRL_DQS_EN => dqs_en,
00204 DDR_DQS => DDR_DQS(3),
00205 DDR_DQS_L => DDR_DQS_L(3),
00206 DQS_RISE => dqs_delayed(3)
00207 );
00208
00209
00210 v4_dqs_iob4 : ddr2_mem_v4_dqs_iob
00211 port map (
00212 CLK => CLK,
00213 CAL_CLK => CAL_CLK,
00214 RESET => RESET0,
00215 DLYINC => dqs_idelay_inc(1),
00216 DLYCE => dqs_idelay_ce(1),
00217 DLYRST => dqs_idelay_rst(1),
00218 CTRL_DQS_RST => dqs_rst,
00219 CTRL_DQS_EN => dqs_en,
00220 DDR_DQS => DDR_DQS(4),
00221 DDR_DQS_L => DDR_DQS_L(4),
00222 DQS_RISE => dqs_delayed(4)
00223 );
00224
00225
00226 v4_dqs_iob5 : ddr2_mem_v4_dqs_iob
00227 port map (
00228 CLK => CLK,
00229 CAL_CLK => CAL_CLK,
00230 RESET => RESET0,
00231 DLYINC => dqs_idelay_inc(1),
00232 DLYCE => dqs_idelay_ce(1),
00233 DLYRST => dqs_idelay_rst(1),
00234 CTRL_DQS_RST => dqs_rst,
00235 CTRL_DQS_EN => dqs_en,
00236 DDR_DQS => DDR_DQS(5),
00237 DDR_DQS_L => DDR_DQS_L(5),
00238 DQS_RISE => dqs_delayed(5)
00239 );
00240
00241
00242 v4_dqs_iob6 : ddr2_mem_v4_dqs_iob
00243 port map (
00244 CLK => CLK,
00245 CAL_CLK => CAL_CLK,
00246 RESET => RESET0,
00247 DLYINC => dqs_idelay_inc(1),
00248 DLYCE => dqs_idelay_ce(1),
00249 DLYRST => dqs_idelay_rst(1),
00250 CTRL_DQS_RST => dqs_rst,
00251 CTRL_DQS_EN => dqs_en,
00252 DDR_DQS => DDR_DQS(6),
00253 DDR_DQS_L => DDR_DQS_L(6),
00254 DQS_RISE => dqs_delayed(6)
00255 );
00256
00257
00258 v4_dqs_iob7 : ddr2_mem_v4_dqs_iob
00259 port map (
00260 CLK => CLK,
00261 CAL_CLK => CAL_CLK,
00262 RESET => RESET0,
00263 DLYINC => dqs_idelay_inc(1),
00264 DLYCE => dqs_idelay_ce(1),
00265 DLYRST => dqs_idelay_rst(1),
00266 CTRL_DQS_RST => dqs_rst,
00267 CTRL_DQS_EN => dqs_en,
00268 DDR_DQS => DDR_DQS(7),
00269 DDR_DQS_L => DDR_DQS_L(7),
00270 DQS_RISE => dqs_delayed(7)
00271 );
00272
00273 --///////////////////////////////////////////////////////////////////////////////////////////////////////////////////////
00274 --//// DM instances
00275 --///////////////////////////////////////////////////////////////////////////////////////////////////////////////////////
00276
00277
00278 v4_dm_iob0 : ddr2_mem_v4_dm_iob
00279 port map (
00280 CLK90 => CLK90,
00281 MASK_DATA_RISE => mask_data_rise(0),
00282 MASK_DATA_FALL => mask_data_fall(0),
00283 DDR_DM => DDR_DM(0)
00284 );
00285
00286
00287 v4_dm_iob1 : ddr2_mem_v4_dm_iob
00288 port map (
00289 CLK90 => CLK90,
00290 MASK_DATA_RISE => mask_data_rise(1),
00291 MASK_DATA_FALL => mask_data_fall(1),
00292 DDR_DM => DDR_DM(1)
00293 );
00294
00295
00296 v4_dm_iob2 : ddr2_mem_v4_dm_iob
00297 port map (
00298 CLK90 => CLK90,
00299 MASK_DATA_RISE => mask_data_rise(2),
00300 MASK_DATA_FALL => mask_data_fall(2),
00301 DDR_DM => DDR_DM(2)
00302 );
00303
00304
00305 v4_dm_iob3 : ddr2_mem_v4_dm_iob
00306 port map (
00307 CLK90 => CLK90,
00308 MASK_DATA_RISE => mask_data_rise(3),
00309 MASK_DATA_FALL => mask_data_fall(3),
00310 DDR_DM => DDR_DM(3)
00311 );
00312
00313
00314 v4_dm_iob4 : ddr2_mem_v4_dm_iob
00315 port map (
00316 CLK90 => CLK90,
00317 MASK_DATA_RISE => mask_data_rise(4),
00318 MASK_DATA_FALL => mask_data_fall(4),
00319 DDR_DM => DDR_DM(4)
00320 );
00321
00322
00323 v4_dm_iob5 : ddr2_mem_v4_dm_iob
00324 port map (
00325 CLK90 => CLK90,
00326 MASK_DATA_RISE => mask_data_rise(5),
00327 MASK_DATA_FALL => mask_data_fall(5),
00328 DDR_DM => DDR_DM(5)
00329 );
00330
00331
00332 v4_dm_iob6 : ddr2_mem_v4_dm_iob
00333 port map (
00334 CLK90 => CLK90,
00335 MASK_DATA_RISE => mask_data_rise(6),
00336 MASK_DATA_FALL => mask_data_fall(6),
00337 DDR_DM => DDR_DM(6)
00338 );
00339
00340
00341 v4_dm_iob7 : ddr2_mem_v4_dm_iob
00342 port map (
00343 CLK90 => CLK90,
00344 MASK_DATA_RISE => mask_data_rise(7),
00345 MASK_DATA_FALL => mask_data_fall(7),
00346 DDR_DM => DDR_DM(7)
00347 );
00348
00349 --/////////////////////////////////////////////////////////////////////////////////////////////////////////////////////
00350 --// DQ_IOB4 instances
00351 --/////////////////////////////////////////////////////////////////////////////////////////////////////////////////////
00352
00353
00354 v4_dq_iob_0 : ddr2_mem_v4_dq_iob
00355 port map (
00356 CLK => CLK,
00357 CLK90 => CLK90,
00358 CAL_CLK => CAL_CLK,
00359 RESET => RESET90,
00360 DATA_DLYINC => data_idelay_inc (0),
00361 DATA_DLYCE => data_idelay_ce(0),
00362 DATA_DLYRST => data_idelay_rst (0),
00363 WRITE_DATA_RISE => wr_data_rise(0),
00364 WRITE_DATA_FALL => wr_data_fall(0),
00365 CTRL_WREN => wr_en,
00366 DDR_DQ => DDR_DQ(0),
00367 READ_DATA_RISE => rd_data_rise(0),
00368 READ_DATA_FALL => rd_data_fall(0)
00369 );
00370
00371
00372 v4_dq_iob_1 : ddr2_mem_v4_dq_iob
00373 port map (
00374 CLK => CLK,
00375 CLK90 => CLK90,
00376 CAL_CLK => CAL_CLK,
00377 RESET => RESET90,
00378 DATA_DLYINC => data_idelay_inc (0),
00379 DATA_DLYCE => data_idelay_ce(0),
00380 DATA_DLYRST => data_idelay_rst (0),
00381 WRITE_DATA_RISE => wr_data_rise(1),
00382 WRITE_DATA_FALL => wr_data_fall(1),
00383 CTRL_WREN => wr_en,
00384 DDR_DQ => DDR_DQ(1),
00385 READ_DATA_RISE => rd_data_rise(1),
00386 READ_DATA_FALL => rd_data_fall(1)
00387 );
00388
00389
00390 v4_dq_iob_2 : ddr2_mem_v4_dq_iob
00391 port map (
00392 CLK => CLK,
00393 CLK90 => CLK90,
00394 CAL_CLK => CAL_CLK,
00395 RESET => RESET90,
00396 DATA_DLYINC => data_idelay_inc (0),
00397 DATA_DLYCE => data_idelay_ce (0),
00398 DATA_DLYRST => data_idelay_rst (0),
00399 WRITE_DATA_RISE => wr_data_rise(2),
00400 WRITE_DATA_FALL => wr_data_fall(2),
00401 CTRL_WREN => wr_en,
00402 DDR_DQ => DDR_DQ(2),
00403 READ_DATA_RISE => rd_data_rise(2),
00404 READ_DATA_FALL => rd_data_fall(2)
00405 );
00406
00407
00408 v4_dq_iob_3 : ddr2_mem_v4_dq_iob
00409 port map (
00410 CLK => CLK,
00411 CLK90 => CLK90,
00412 CAL_CLK => CAL_CLK,
00413 RESET => RESET90,
00414 DATA_DLYINC => data_idelay_inc (0),
00415 DATA_DLYCE => data_idelay_ce (0),
00416 DATA_DLYRST => data_idelay_rst (0),
00417 WRITE_DATA_RISE => wr_data_rise(3),
00418 WRITE_DATA_FALL => wr_data_fall(3),
00419 CTRL_WREN => wr_en,
00420 DDR_DQ => DDR_DQ(3),
00421 READ_DATA_RISE => rd_data_rise(3),
00422 READ_DATA_FALL => rd_data_fall(3)
00423 );
00424
00425
00426 v4_dq_iob_4 : ddr2_mem_v4_dq_iob
00427 port map (
00428 CLK => CLK,
00429 CLK90 => CLK90,
00430 CAL_CLK => CAL_CLK,
00431 RESET => RESET90,
00432 DATA_DLYINC => data_idelay_inc (0),
00433 DATA_DLYCE => data_idelay_ce (0),
00434 DATA_DLYRST => data_idelay_rst (0),
00435 WRITE_DATA_RISE => wr_data_rise(4),
00436 WRITE_DATA_FALL => wr_data_fall(4),
00437 CTRL_WREN => wr_en,
00438 DDR_DQ => DDR_DQ(4),
00439 READ_DATA_RISE => rd_data_rise(4),
00440 READ_DATA_FALL => rd_data_fall(4)
00441 );
00442
00443
00444 v4_dq_iob_5 : ddr2_mem_v4_dq_iob
00445 port map (
00446 CLK => CLK,
00447 CLK90 => CLK90,
00448 CAL_CLK => CAL_CLK,
00449 RESET => RESET90,
00450 DATA_DLYINC => data_idelay_inc (0),
00451 DATA_DLYCE => data_idelay_ce (0),
00452 DATA_DLYRST => data_idelay_rst (0),
00453 WRITE_DATA_RISE => wr_data_rise(5),
00454 WRITE_DATA_FALL => wr_data_fall(5),
00455 CTRL_WREN => wr_en,
00456 DDR_DQ => DDR_DQ(5),
00457 READ_DATA_RISE => rd_data_rise(5),
00458 READ_DATA_FALL => rd_data_fall(5)
00459 );
00460
00461
00462 v4_dq_iob_6 : ddr2_mem_v4_dq_iob
00463 port map (
00464 CLK => CLK,
00465 CLK90 => CLK90,
00466 CAL_CLK => CAL_CLK,
00467 RESET => RESET90,
00468 DATA_DLYINC => data_idelay_inc (0),
00469 DATA_DLYCE => data_idelay_ce (0),
00470 DATA_DLYRST => data_idelay_rst (0),
00471 WRITE_DATA_RISE => wr_data_rise(6),
00472 WRITE_DATA_FALL => wr_data_fall(6),
00473 CTRL_WREN => wr_en,
00474 DDR_DQ => DDR_DQ(6),
00475 READ_DATA_RISE => rd_data_rise(6),
00476 READ_DATA_FALL => rd_data_fall(6)
00477 );
00478
00479
00480 v4_dq_iob_7 : ddr2_mem_v4_dq_iob
00481 port map (
00482 CLK => CLK,
00483 CLK90 => CLK90,
00484 CAL_CLK => CAL_CLK,
00485 RESET => RESET90,
00486 DATA_DLYINC => data_idelay_inc (0),
00487 DATA_DLYCE => data_idelay_ce (0),
00488 DATA_DLYRST => data_idelay_rst (0),
00489 WRITE_DATA_RISE => wr_data_rise(7),
00490 WRITE_DATA_FALL => wr_data_fall(7),
00491 CTRL_WREN => wr_en,
00492 DDR_DQ => DDR_DQ(7),
00493 READ_DATA_RISE => rd_data_rise(7),
00494 READ_DATA_FALL => rd_data_fall(7)
00495 );
00496
00497
00498 v4_dq_iob_8 : ddr2_mem_v4_dq_iob
00499 port map (
00500 CLK => CLK,
00501 CLK90 => CLK90,
00502 CAL_CLK => CAL_CLK,
00503 RESET => RESET90,
00504 DATA_DLYINC => data_idelay_inc (0),
00505 DATA_DLYCE => data_idelay_ce (0),
00506 DATA_DLYRST => data_idelay_rst (0),
00507 WRITE_DATA_RISE => wr_data_rise(8),
00508 WRITE_DATA_FALL => wr_data_fall(8),
00509 CTRL_WREN => wr_en,
00510 DDR_DQ => DDR_DQ(8),
00511 READ_DATA_RISE => rd_data_rise(8),
00512 READ_DATA_FALL => rd_data_fall(8)
00513 );
00514
00515
00516 v4_dq_iob_9 : ddr2_mem_v4_dq_iob
00517 port map (
00518 CLK => CLK,
00519 CLK90 => CLK90,
00520 CAL_CLK => CAL_CLK,
00521 RESET => RESET90,
00522 DATA_DLYINC => data_idelay_inc (0),
00523 DATA_DLYCE => data_idelay_ce (0),
00524 DATA_DLYRST => data_idelay_rst (0),
00525 WRITE_DATA_RISE => wr_data_rise(9),
00526 WRITE_DATA_FALL => wr_data_fall(9),
00527 CTRL_WREN => wr_en,
00528 DDR_DQ => DDR_DQ(9),
00529 READ_DATA_RISE => rd_data_rise(9),
00530 READ_DATA_FALL => rd_data_fall(9)
00531 );
00532
00533
00534 v4_dq_iob_10 : ddr2_mem_v4_dq_iob
00535 port map (
00536 CLK => CLK,
00537 CLK90 => CLK90,
00538 CAL_CLK => CAL_CLK,
00539 RESET => RESET90,
00540 DATA_DLYINC => data_idelay_inc (0),
00541 DATA_DLYCE => data_idelay_ce (0),
00542 DATA_DLYRST => data_idelay_rst (0),
00543 WRITE_DATA_RISE => wr_data_rise(10),
00544 WRITE_DATA_FALL => wr_data_fall(10),
00545 CTRL_WREN => wr_en,
00546 DDR_DQ => DDR_DQ(10),
00547 READ_DATA_RISE => rd_data_rise(10),
00548 READ_DATA_FALL => rd_data_fall(10)
00549 );
00550
00551
00552 v4_dq_iob_11 : ddr2_mem_v4_dq_iob
00553 port map (
00554 CLK => CLK,
00555 CLK90 => CLK90,
00556 CAL_CLK => CAL_CLK,
00557 RESET => RESET90,
00558 DATA_DLYINC => data_idelay_inc (0),
00559 DATA_DLYCE => data_idelay_ce(0),
00560 DATA_DLYRST => data_idelay_rst (0),
00561 WRITE_DATA_RISE => wr_data_rise(11),
00562 WRITE_DATA_FALL => wr_data_fall(11),
00563 CTRL_WREN => wr_en,
00564 DDR_DQ => DDR_DQ(11),
00565 READ_DATA_RISE => rd_data_rise(11),
00566 READ_DATA_FALL => rd_data_fall(11)
00567 );
00568
00569
00570 v4_dq_iob_12 : ddr2_mem_v4_dq_iob
00571 port map (
00572 CLK => CLK,
00573 CLK90 => CLK90,
00574 CAL_CLK => CAL_CLK,
00575 RESET => RESET90,
00576 DATA_DLYINC => data_idelay_inc (0),
00577 DATA_DLYCE => data_idelay_ce (0),
00578 DATA_DLYRST => data_idelay_rst (0),
00579 WRITE_DATA_RISE => wr_data_rise(12),
00580 WRITE_DATA_FALL => wr_data_fall(12),
00581 CTRL_WREN => wr_en,
00582 DDR_DQ => DDR_DQ(12),
00583 READ_DATA_RISE => rd_data_rise(12),
00584 READ_DATA_FALL => rd_data_fall(12)
00585 );
00586
00587
00588 v4_dq_iob_13 : ddr2_mem_v4_dq_iob
00589 port map (
00590 CLK => CLK,
00591 CLK90 => CLK90,
00592 CAL_CLK => CAL_CLK,
00593 RESET => RESET90,
00594 DATA_DLYINC => data_idelay_inc (0),
00595 DATA_DLYCE => data_idelay_ce(0),
00596 DATA_DLYRST => data_idelay_rst (0),
00597 WRITE_DATA_RISE => wr_data_rise(13),
00598 WRITE_DATA_FALL => wr_data_fall(13),
00599 CTRL_WREN => wr_en,
00600 DDR_DQ => DDR_DQ(13),
00601 READ_DATA_RISE => rd_data_rise(13),
00602 READ_DATA_FALL => rd_data_fall(13)
00603 );
00604
00605
00606 v4_dq_iob_14 : ddr2_mem_v4_dq_iob
00607 port map (
00608 CLK => CLK,
00609 CLK90 => CLK90,
00610 CAL_CLK => CAL_CLK,
00611 RESET => RESET90,
00612 DATA_DLYINC => data_idelay_inc (0),
00613 DATA_DLYCE => data_idelay_ce (0),
00614 DATA_DLYRST => data_idelay_rst (0),
00615 WRITE_DATA_RISE => wr_data_rise(14),
00616 WRITE_DATA_FALL => wr_data_fall(14),
00617 CTRL_WREN => wr_en,
00618 DDR_DQ => DDR_DQ(14),
00619 READ_DATA_RISE => rd_data_rise(14),
00620 READ_DATA_FALL => rd_data_fall(14)
00621 );
00622
00623
00624 v4_dq_iob_15 : ddr2_mem_v4_dq_iob
00625 port map (
00626 CLK => CLK,
00627 CLK90 => CLK90,
00628 CAL_CLK => CAL_CLK,
00629 RESET => RESET90,
00630 DATA_DLYINC => data_idelay_inc (0),
00631 DATA_DLYCE => data_idelay_ce(0),
00632 DATA_DLYRST => data_idelay_rst (0),
00633 WRITE_DATA_RISE => wr_data_rise(15),
00634 WRITE_DATA_FALL => wr_data_fall(15),
00635 CTRL_WREN => wr_en,
00636 DDR_DQ => DDR_DQ(15),
00637 READ_DATA_RISE => rd_data_rise(15),
00638 READ_DATA_FALL => rd_data_fall(15)
00639 );
00640
00641
00642 v4_dq_iob_16 : ddr2_mem_v4_dq_iob
00643 port map (
00644 CLK => CLK,
00645 CLK90 => CLK90,
00646 CAL_CLK => CAL_CLK,
00647 RESET => RESET90,
00648 DATA_DLYINC => data_idelay_inc (0),
00649 DATA_DLYCE => data_idelay_ce (0),
00650 DATA_DLYRST => data_idelay_rst (0),
00651 WRITE_DATA_RISE => wr_data_rise(16),
00652 WRITE_DATA_FALL => wr_data_fall(16),
00653 CTRL_WREN => wr_en,
00654 DDR_DQ => DDR_DQ(16),
00655 READ_DATA_RISE => rd_data_rise(16),
00656 READ_DATA_FALL => rd_data_fall(16)
00657 );
00658
00659
00660 v4_dq_iob_17 : ddr2_mem_v4_dq_iob
00661 port map (
00662 CLK => CLK,
00663 CLK90 => CLK90,
00664 CAL_CLK => CAL_CLK,
00665 RESET => RESET90,
00666 DATA_DLYINC => data_idelay_inc (0),
00667 DATA_DLYCE => data_idelay_ce(0),
00668 DATA_DLYRST => data_idelay_rst (0),
00669 WRITE_DATA_RISE => wr_data_rise(17),
00670 WRITE_DATA_FALL => wr_data_fall(17),
00671 CTRL_WREN => wr_en,
00672 DDR_DQ => DDR_DQ(17),
00673 READ_DATA_RISE => rd_data_rise(17),
00674 READ_DATA_FALL => rd_data_fall(17)
00675 );
00676
00677
00678 v4_dq_iob_18 : ddr2_mem_v4_dq_iob
00679 port map (
00680 CLK => CLK,
00681 CLK90 => CLK90,
00682 CAL_CLK => CAL_CLK,
00683 RESET => RESET90,
00684 DATA_DLYINC => data_idelay_inc (0),
00685 DATA_DLYCE => data_idelay_ce (0),
00686 DATA_DLYRST => data_idelay_rst (0),
00687 WRITE_DATA_RISE => wr_data_rise(18),
00688 WRITE_DATA_FALL => wr_data_fall(18),
00689 CTRL_WREN => wr_en,
00690 DDR_DQ => DDR_DQ(18),
00691 READ_DATA_RISE => rd_data_rise(18),
00692 READ_DATA_FALL => rd_data_fall(18)
00693 );
00694
00695
00696 v4_dq_iob_19 : ddr2_mem_v4_dq_iob
00697 port map (
00698 CLK => CLK,
00699 CLK90 => CLK90,
00700 CAL_CLK => CAL_CLK,
00701 RESET => RESET90,
00702 DATA_DLYINC => data_idelay_inc (0),
00703 DATA_DLYCE => data_idelay_ce(0),
00704 DATA_DLYRST => data_idelay_rst (0),
00705 WRITE_DATA_RISE => wr_data_rise(19),
00706 WRITE_DATA_FALL => wr_data_fall(19),
00707 CTRL_WREN => wr_en,
00708 DDR_DQ => DDR_DQ(19),
00709 READ_DATA_RISE => rd_data_rise(19),
00710 READ_DATA_FALL => rd_data_fall(19)
00711 );
00712
00713
00714 v4_dq_iob_20 : ddr2_mem_v4_dq_iob
00715 port map (
00716 CLK => CLK,
00717 CLK90 => CLK90,
00718 CAL_CLK => CAL_CLK,
00719 RESET => RESET90,
00720 DATA_DLYINC => data_idelay_inc (0),
00721 DATA_DLYCE => data_idelay_ce (0),
00722 DATA_DLYRST => data_idelay_rst (0),
00723 WRITE_DATA_RISE => wr_data_rise(20),
00724 WRITE_DATA_FALL => wr_data_fall(20),
00725 CTRL_WREN => wr_en,
00726 DDR_DQ => DDR_DQ(20),
00727 READ_DATA_RISE => rd_data_rise(20),
00728 READ_DATA_FALL => rd_data_fall(20)
00729 );
00730
00731
00732 v4_dq_iob_21 : ddr2_mem_v4_dq_iob
00733 port map (
00734 CLK => CLK,
00735 CLK90 => CLK90,
00736 CAL_CLK => CAL_CLK,
00737 RESET => RESET90,
00738 DATA_DLYINC => data_idelay_inc (0),
00739 DATA_DLYCE => data_idelay_ce(0),
00740 DATA_DLYRST => data_idelay_rst (0),
00741 WRITE_DATA_RISE => wr_data_rise(21),
00742 WRITE_DATA_FALL => wr_data_fall(21),
00743 CTRL_WREN => wr_en,
00744 DDR_DQ => DDR_DQ(21),
00745 READ_DATA_RISE => rd_data_rise(21),
00746 READ_DATA_FALL => rd_data_fall(21)
00747 );
00748
00749
00750 v4_dq_iob_22 : ddr2_mem_v4_dq_iob
00751 port map (
00752 CLK => CLK,
00753 CLK90 => CLK90,
00754 CAL_CLK => CAL_CLK,
00755 RESET => RESET90,
00756 DATA_DLYINC => data_idelay_inc (0),
00757 DATA_DLYCE => data_idelay_ce (0),
00758 DATA_DLYRST => data_idelay_rst (0),
00759 WRITE_DATA_RISE => wr_data_rise(22),
00760 WRITE_DATA_FALL => wr_data_fall(22),
00761 CTRL_WREN => wr_en,
00762 DDR_DQ => DDR_DQ(22),
00763 READ_DATA_RISE => rd_data_rise(22),
00764 READ_DATA_FALL => rd_data_fall(22)
00765 );
00766
00767
00768 v4_dq_iob_23 : ddr2_mem_v4_dq_iob
00769 port map (
00770 CLK => CLK,
00771 CLK90 => CLK90,
00772 CAL_CLK => CAL_CLK,
00773 RESET => RESET90,
00774 DATA_DLYINC => data_idelay_inc (0),
00775 DATA_DLYCE => data_idelay_ce(0),
00776 DATA_DLYRST => data_idelay_rst (0),
00777 WRITE_DATA_RISE => wr_data_rise(23),
00778 WRITE_DATA_FALL => wr_data_fall(23),
00779 CTRL_WREN => wr_en,
00780 DDR_DQ => DDR_DQ(23),
00781 READ_DATA_RISE => rd_data_rise(23),
00782 READ_DATA_FALL => rd_data_fall(23)
00783 );
00784
00785
00786 v4_dq_iob_24 : ddr2_mem_v4_dq_iob
00787 port map (
00788 CLK => CLK,
00789 CLK90 => CLK90,
00790 CAL_CLK => CAL_CLK,
00791 RESET => RESET90,
00792 DATA_DLYINC => data_idelay_inc (0),
00793 DATA_DLYCE => data_idelay_ce (0),
00794 DATA_DLYRST => data_idelay_rst (0),
00795 WRITE_DATA_RISE => wr_data_rise(24),
00796 WRITE_DATA_FALL => wr_data_fall(24),
00797 CTRL_WREN => wr_en,
00798 DDR_DQ => DDR_DQ(24),
00799 READ_DATA_RISE => rd_data_rise(24),
00800 READ_DATA_FALL => rd_data_fall(24)
00801 );
00802
00803
00804 v4_dq_iob_25 : ddr2_mem_v4_dq_iob
00805 port map (
00806 CLK => CLK,
00807 CLK90 => CLK90,
00808 CAL_CLK => CAL_CLK,
00809 RESET => RESET90,
00810 DATA_DLYINC => data_idelay_inc (0),
00811 DATA_DLYCE => data_idelay_ce(0),
00812 DATA_DLYRST => data_idelay_rst (0),
00813 WRITE_DATA_RISE => wr_data_rise(25),
00814 WRITE_DATA_FALL => wr_data_fall(25),
00815 CTRL_WREN => wr_en,
00816 DDR_DQ => DDR_DQ(25),
00817 READ_DATA_RISE => rd_data_rise(25),
00818 READ_DATA_FALL => rd_data_fall(25)
00819 );
00820
00821
00822 v4_dq_iob_26 : ddr2_mem_v4_dq_iob
00823 port map (
00824 CLK => CLK,
00825 CLK90 => CLK90,
00826 CAL_CLK => CAL_CLK,
00827 RESET => RESET90,
00828 DATA_DLYINC => data_idelay_inc (0),
00829 DATA_DLYCE => data_idelay_ce (0),
00830 DATA_DLYRST => data_idelay_rst (0),
00831 WRITE_DATA_RISE => wr_data_rise(26),
00832 WRITE_DATA_FALL => wr_data_fall(26),
00833 CTRL_WREN => wr_en,
00834 DDR_DQ => DDR_DQ(26),
00835 READ_DATA_RISE => rd_data_rise(26),
00836 READ_DATA_FALL => rd_data_fall(26)
00837 );
00838
00839
00840 v4_dq_iob_27 : ddr2_mem_v4_dq_iob
00841 port map (
00842 CLK => CLK,
00843 CLK90 => CLK90,
00844 CAL_CLK => CAL_CLK,
00845 RESET => RESET90,
00846 DATA_DLYINC => data_idelay_inc (0),
00847 DATA_DLYCE => data_idelay_ce(0),
00848 DATA_DLYRST => data_idelay_rst (0),
00849 WRITE_DATA_RISE => wr_data_rise(27),
00850 WRITE_DATA_FALL => wr_data_fall(27),
00851 CTRL_WREN => wr_en,
00852 DDR_DQ => DDR_DQ(27),
00853 READ_DATA_RISE => rd_data_rise(27),
00854 READ_DATA_FALL => rd_data_fall(27)
00855 );
00856
00857
00858 v4_dq_iob_28 : ddr2_mem_v4_dq_iob
00859 port map (
00860 CLK => CLK,
00861 CLK90 => CLK90,
00862 CAL_CLK => CAL_CLK,
00863 RESET => RESET90,
00864 DATA_DLYINC => data_idelay_inc (0),
00865 DATA_DLYCE => data_idelay_ce (0),
00866 DATA_DLYRST => data_idelay_rst (0),
00867 WRITE_DATA_RISE => wr_data_rise(28),
00868 WRITE_DATA_FALL => wr_data_fall(28),
00869 CTRL_WREN => wr_en,
00870 DDR_DQ => DDR_DQ(28),
00871 READ_DATA_RISE => rd_data_rise(28),
00872 READ_DATA_FALL => rd_data_fall(28)
00873 );
00874
00875
00876 v4_dq_iob_29 : ddr2_mem_v4_dq_iob
00877 port map (
00878 CLK => CLK,
00879 CLK90 => CLK90,
00880 CAL_CLK => CAL_CLK,
00881 RESET => RESET90,
00882 DATA_DLYINC => data_idelay_inc (0),
00883 DATA_DLYCE => data_idelay_ce(0),
00884 DATA_DLYRST => data_idelay_rst (0),
00885 WRITE_DATA_RISE => wr_data_rise(29),
00886 WRITE_DATA_FALL => wr_data_fall(29),
00887 CTRL_WREN => wr_en,
00888 DDR_DQ => DDR_DQ(29),
00889 READ_DATA_RISE => rd_data_rise(29),
00890 READ_DATA_FALL => rd_data_fall(29)
00891 );
00892
00893
00894 v4_dq_iob_30 : ddr2_mem_v4_dq_iob
00895 port map (
00896 CLK => CLK,
00897 CLK90 => CLK90,
00898 CAL_CLK => CAL_CLK,
00899 RESET => RESET90,
00900 DATA_DLYINC => data_idelay_inc (0),
00901 DATA_DLYCE => data_idelay_ce (0),
00902 DATA_DLYRST => data_idelay_rst (0),
00903 WRITE_DATA_RISE => wr_data_rise(30),
00904 WRITE_DATA_FALL => wr_data_fall(30),
00905 CTRL_WREN => wr_en,
00906 DDR_DQ => DDR_DQ(30),
00907 READ_DATA_RISE => rd_data_rise(30),
00908 READ_DATA_FALL => rd_data_fall(30)
00909 );
00910
00911
00912 v4_dq_iob_31 : ddr2_mem_v4_dq_iob
00913 port map (
00914 CLK => CLK,
00915 CLK90 => CLK90,
00916 CAL_CLK => CAL_CLK,
00917 RESET => RESET90,
00918 DATA_DLYINC => data_idelay_inc (0),
00919 DATA_DLYCE => data_idelay_ce(0),
00920 DATA_DLYRST => data_idelay_rst (0),
00921 WRITE_DATA_RISE => wr_data_rise(31),
00922 WRITE_DATA_FALL => wr_data_fall(31),
00923 CTRL_WREN => wr_en,
00924 DDR_DQ => DDR_DQ(31),
00925 READ_DATA_RISE => rd_data_rise(31),
00926 READ_DATA_FALL => rd_data_fall(31)
00927 );
00928
00929
00930 v4_dq_iob_32 : ddr2_mem_v4_dq_iob
00931 port map (
00932 CLK => CLK,
00933 CLK90 => CLK90,
00934 CAL_CLK => CAL_CLK,
00935 RESET => RESET90,
00936 DATA_DLYINC => data_idelay_inc (1),
00937 DATA_DLYCE => data_idelay_ce (1),
00938 DATA_DLYRST => data_idelay_rst (1),
00939 WRITE_DATA_RISE => wr_data_rise(32),
00940 WRITE_DATA_FALL => wr_data_fall(32),
00941 CTRL_WREN => wr_en,
00942 DDR_DQ => DDR_DQ(32),
00943 READ_DATA_RISE => rd_data_rise(32),
00944 READ_DATA_FALL => rd_data_fall(32)
00945 );
00946
00947
00948 v4_dq_iob_33 : ddr2_mem_v4_dq_iob
00949 port map (
00950 CLK => CLK,
00951 CLK90 => CLK90,
00952 CAL_CLK => CAL_CLK,
00953 RESET => RESET90,
00954 DATA_DLYINC => data_idelay_inc (1),
00955 DATA_DLYCE => data_idelay_ce(1),
00956 DATA_DLYRST => data_idelay_rst (1),
00957 WRITE_DATA_RISE => wr_data_rise(33),
00958 WRITE_DATA_FALL => wr_data_fall(33),
00959 CTRL_WREN => wr_en,
00960 DDR_DQ => DDR_DQ(33),
00961 READ_DATA_RISE => rd_data_rise(33),
00962 READ_DATA_FALL => rd_data_fall(33)
00963 );
00964
00965
00966 v4_dq_iob_34 : ddr2_mem_v4_dq_iob
00967 port map (
00968 CLK => CLK,
00969 CLK90 => CLK90,
00970 CAL_CLK => CAL_CLK,
00971 RESET => RESET90,
00972 DATA_DLYINC => data_idelay_inc (1),
00973 DATA_DLYCE => data_idelay_ce (1),
00974 DATA_DLYRST => data_idelay_rst (1),
00975 WRITE_DATA_RISE => wr_data_rise(34),
00976 WRITE_DATA_FALL => wr_data_fall(34),
00977 CTRL_WREN => wr_en,
00978 DDR_DQ => DDR_DQ(34),
00979 READ_DATA_RISE => rd_data_rise(34),
00980 READ_DATA_FALL => rd_data_fall(34)
00981 );
00982
00983
00984 v4_dq_iob_35 : ddr2_mem_v4_dq_iob
00985 port map (
00986 CLK => CLK,
00987 CLK90 => CLK90,
00988 CAL_CLK => CAL_CLK,
00989 RESET => RESET90,
00990 DATA_DLYINC => data_idelay_inc (1),
00991 DATA_DLYCE => data_idelay_ce(1),
00992 DATA_DLYRST => data_idelay_rst (1),
00993 WRITE_DATA_RISE => wr_data_rise(35),
00994 WRITE_DATA_FALL => wr_data_fall(35),
00995 CTRL_WREN => wr_en,
00996 DDR_DQ => DDR_DQ(35),
00997 READ_DATA_RISE => rd_data_rise(35),
00998 READ_DATA_FALL => rd_data_fall(35)
00999 );
01000
01001
01002 v4_dq_iob_36 : ddr2_mem_v4_dq_iob
01003 port map (
01004 CLK => CLK,
01005 CLK90 => CLK90,
01006 CAL_CLK => CAL_CLK,
01007 RESET => RESET90,
01008 DATA_DLYINC => data_idelay_inc (1),
01009 DATA_DLYCE => data_idelay_ce (1),
01010 DATA_DLYRST => data_idelay_rst (1),
01011 WRITE_DATA_RISE => wr_data_rise(36),
01012 WRITE_DATA_FALL => wr_data_fall(36),
01013 CTRL_WREN => wr_en,
01014 DDR_DQ => DDR_DQ(36),
01015 READ_DATA_RISE => rd_data_rise(36),
01016 READ_DATA_FALL => rd_data_fall(36)
01017 );
01018
01019
01020 v4_dq_iob_37 : ddr2_mem_v4_dq_iob
01021 port map (
01022 CLK => CLK,
01023 CLK90 => CLK90,
01024 CAL_CLK => CAL_CLK,
01025 RESET => RESET90,
01026 DATA_DLYINC => data_idelay_inc (1),
01027 DATA_DLYCE => data_idelay_ce (1),
01028 DATA_DLYRST => data_idelay_rst (1),
01029 WRITE_DATA_RISE => wr_data_rise(37),
01030 WRITE_DATA_FALL => wr_data_fall(37),
01031 CTRL_WREN => wr_en,
01032 DDR_DQ => DDR_DQ(37),
01033 READ_DATA_RISE => rd_data_rise(37),
01034 READ_DATA_FALL => rd_data_fall(37)
01035 );
01036
01037
01038 v4_dq_iob_38 : ddr2_mem_v4_dq_iob
01039 port map (
01040 CLK => CLK,
01041 CLK90 => CLK90,
01042 CAL_CLK => CAL_CLK,
01043 RESET => RESET90,
01044 DATA_DLYINC => data_idelay_inc (1),
01045 DATA_DLYCE => data_idelay_ce (1),
01046 DATA_DLYRST => data_idelay_rst (1),
01047 WRITE_DATA_RISE => wr_data_rise(38),
01048 WRITE_DATA_FALL => wr_data_fall(38),
01049 CTRL_WREN => wr_en,
01050 DDR_DQ => DDR_DQ(38),
01051 READ_DATA_RISE => rd_data_rise(38),
01052 READ_DATA_FALL => rd_data_fall(38)
01053 );
01054
01055
01056 v4_dq_iob_39 : ddr2_mem_v4_dq_iob
01057 port map (
01058 CLK => CLK,
01059 CLK90 => CLK90,
01060 CAL_CLK => CAL_CLK,
01061 RESET => RESET90,
01062 DATA_DLYINC => data_idelay_inc (1),
01063 DATA_DLYCE => data_idelay_ce (1),
01064 DATA_DLYRST => data_idelay_rst (1),
01065 WRITE_DATA_RISE => wr_data_rise(39),
01066 WRITE_DATA_FALL => wr_data_fall(39),
01067 CTRL_WREN => wr_en,
01068 DDR_DQ => DDR_DQ(39),
01069 READ_DATA_RISE => rd_data_rise(39),
01070 READ_DATA_FALL => rd_data_fall(39)
01071 );
01072
01073
01074 v4_dq_iob_40 : ddr2_mem_v4_dq_iob
01075 port map (
01076 CLK => CLK,
01077 CLK90 => CLK90,
01078 CAL_CLK => CAL_CLK,
01079 RESET => RESET90,
01080 DATA_DLYINC => data_idelay_inc (1),
01081 DATA_DLYCE => data_idelay_ce (1),
01082 DATA_DLYRST => data_idelay_rst (1),
01083 WRITE_DATA_RISE => wr_data_rise(40),
01084 WRITE_DATA_FALL => wr_data_fall(40),
01085 CTRL_WREN => wr_en,
01086 DDR_DQ => DDR_DQ(40),
01087 READ_DATA_RISE => rd_data_rise(40),
01088 READ_DATA_FALL => rd_data_fall(40)
01089 );
01090
01091
01092 v4_dq_iob_41 : ddr2_mem_v4_dq_iob
01093 port map (
01094 CLK => CLK,
01095 CLK90 => CLK90,
01096 CAL_CLK => CAL_CLK,
01097 RESET => RESET90,
01098 DATA_DLYINC => data_idelay_inc (1),
01099 DATA_DLYCE => data_idelay_ce (1),
01100 DATA_DLYRST => data_idelay_rst (1),
01101 WRITE_DATA_RISE => wr_data_rise(41),
01102 WRITE_DATA_FALL => wr_data_fall(41),
01103 CTRL_WREN => wr_en,
01104 DDR_DQ => DDR_DQ(41),
01105 READ_DATA_RISE => rd_data_rise(41),
01106 READ_DATA_FALL => rd_data_fall(41)
01107 );
01108
01109
01110 v4_dq_iob_42 : ddr2_mem_v4_dq_iob
01111 port map (
01112 CLK => CLK,
01113 CLK90 => CLK90,
01114 CAL_CLK => CAL_CLK,
01115 RESET => RESET90,
01116 DATA_DLYINC => data_idelay_inc (1),
01117 DATA_DLYCE => data_idelay_ce (1),
01118 DATA_DLYRST => data_idelay_rst (1),
01119 WRITE_DATA_RISE => wr_data_rise(42),
01120 WRITE_DATA_FALL => wr_data_fall(42),
01121 CTRL_WREN => wr_en,
01122 DDR_DQ => DDR_DQ(42),
01123 READ_DATA_RISE => rd_data_rise(42),
01124 READ_DATA_FALL => rd_data_fall(42)
01125 );
01126
01127
01128 v4_dq_iob_43 : ddr2_mem_v4_dq_iob
01129 port map (
01130 CLK => CLK,
01131 CLK90 => CLK90,
01132 CAL_CLK => CAL_CLK,
01133 RESET => RESET90,
01134 DATA_DLYINC => data_idelay_inc (1),
01135 DATA_DLYCE => data_idelay_ce (1),
01136 DATA_DLYRST => data_idelay_rst (1),
01137 WRITE_DATA_RISE => wr_data_rise(43),
01138 WRITE_DATA_FALL => wr_data_fall(43),
01139 CTRL_WREN => wr_en,
01140 DDR_DQ => DDR_DQ(43),
01141 READ_DATA_RISE => rd_data_rise(43),
01142 READ_DATA_FALL => rd_data_fall(43)
01143 );
01144
01145
01146 v4_dq_iob_44 : ddr2_mem_v4_dq_iob
01147 port map (
01148 CLK => CLK,
01149 CLK90 => CLK90,
01150 CAL_CLK => CAL_CLK,
01151 RESET => RESET90,
01152 DATA_DLYINC => data_idelay_inc (1),
01153 DATA_DLYCE => data_idelay_ce (1),
01154 DATA_DLYRST => data_idelay_rst (1),
01155 WRITE_DATA_RISE => wr_data_rise(44),
01156 WRITE_DATA_FALL => wr_data_fall(44),
01157 CTRL_WREN => wr_en,
01158 DDR_DQ => DDR_DQ(44),
01159 READ_DATA_RISE => rd_data_rise(44),
01160 READ_DATA_FALL => rd_data_fall(44)
01161 );
01162
01163
01164 v4_dq_iob_45 : ddr2_mem_v4_dq_iob
01165 port map (
01166 CLK => CLK,
01167 CLK90 => CLK90,
01168 CAL_CLK => CAL_CLK,
01169 RESET => RESET90,
01170 DATA_DLYINC => data_idelay_inc (1),
01171 DATA_DLYCE => data_idelay_ce (1),
01172 DATA_DLYRST => data_idelay_rst (1),
01173 WRITE_DATA_RISE => wr_data_rise(45),
01174 WRITE_DATA_FALL => wr_data_fall(45),
01175 CTRL_WREN => wr_en,
01176 DDR_DQ => DDR_DQ(45),
01177 READ_DATA_RISE => rd_data_rise(45),
01178 READ_DATA_FALL => rd_data_fall(45)
01179 );
01180
01181
01182 v4_dq_iob_46 : ddr2_mem_v4_dq_iob
01183 port map (
01184 CLK => CLK,
01185 CLK90 => CLK90,
01186 CAL_CLK => CAL_CLK,
01187 RESET => RESET90,
01188 DATA_DLYINC => data_idelay_inc (1),
01189 DATA_DLYCE => data_idelay_ce (1),
01190 DATA_DLYRST => data_idelay_rst (1),
01191 WRITE_DATA_RISE => wr_data_rise(46),
01192 WRITE_DATA_FALL => wr_data_fall(46),
01193 CTRL_WREN => wr_en,
01194 DDR_DQ => DDR_DQ(46),
01195 READ_DATA_RISE => rd_data_rise(46),
01196 READ_DATA_FALL => rd_data_fall(46)
01197 );
01198
01199
01200 v4_dq_iob_47 : ddr2_mem_v4_dq_iob
01201 port map (
01202 CLK => CLK,
01203 CLK90 => CLK90,
01204 CAL_CLK => CAL_CLK,
01205 RESET => RESET90,
01206 DATA_DLYINC => data_idelay_inc (1),
01207 DATA_DLYCE => data_idelay_ce (1),
01208 DATA_DLYRST => data_idelay_rst (1),
01209 WRITE_DATA_RISE => wr_data_rise(47),
01210 WRITE_DATA_FALL => wr_data_fall(47),
01211 CTRL_WREN => wr_en,
01212 DDR_DQ => DDR_DQ(47),
01213 READ_DATA_RISE => rd_data_rise(47),
01214 READ_DATA_FALL => rd_data_fall(47)
01215 );
01216
01217
01218 v4_dq_iob_48 : ddr2_mem_v4_dq_iob
01219 port map (
01220 CLK => CLK,
01221 CLK90 => CLK90,
01222 CAL_CLK => CAL_CLK,
01223 RESET => RESET90,
01224 DATA_DLYINC => data_idelay_inc (1),
01225 DATA_DLYCE => data_idelay_ce(1),
01226 DATA_DLYRST => data_idelay_rst (1),
01227 WRITE_DATA_RISE => wr_data_rise(48),
01228 WRITE_DATA_FALL => wr_data_fall(48),
01229 CTRL_WREN => wr_en,
01230 DDR_DQ => DDR_DQ(48),
01231 READ_DATA_RISE => rd_data_rise(48),
01232 READ_DATA_FALL => rd_data_fall(48)
01233 );
01234
01235
01236 v4_dq_iob_49 : ddr2_mem_v4_dq_iob
01237 port map (
01238 CLK => CLK,
01239 CLK90 => CLK90,
01240 CAL_CLK => CAL_CLK,
01241 RESET => RESET90,
01242 DATA_DLYINC => data_idelay_inc (1),
01243 DATA_DLYCE => data_idelay_ce(1),
01244 DATA_DLYRST => data_idelay_rst (1),
01245 WRITE_DATA_RISE => wr_data_rise(49),
01246 WRITE_DATA_FALL => wr_data_fall(49),
01247 CTRL_WREN => wr_en,
01248 DDR_DQ => DDR_DQ(49),
01249 READ_DATA_RISE => rd_data_rise(49),
01250 READ_DATA_FALL => rd_data_fall(49)
01251 );
01252
01253
01254 v4_dq_iob_50 : ddr2_mem_v4_dq_iob
01255 port map (
01256 CLK => CLK,
01257 CLK90 => CLK90,
01258 CAL_CLK => CAL_CLK,
01259 RESET => RESET90,
01260 DATA_DLYINC => data_idelay_inc (1),
01261 DATA_DLYCE => data_idelay_ce(1),
01262 DATA_DLYRST => data_idelay_rst (1),
01263 WRITE_DATA_RISE => wr_data_rise(50),
01264 WRITE_DATA_FALL => wr_data_fall(50),
01265 CTRL_WREN => wr_en,
01266 DDR_DQ => DDR_DQ(50),
01267 READ_DATA_RISE => rd_data_rise(50),
01268 READ_DATA_FALL => rd_data_fall(50)
01269 );
01270
01271
01272 v4_dq_iob_51 : ddr2_mem_v4_dq_iob
01273 port map (
01274 CLK => CLK,
01275 CLK90 => CLK90,
01276 CAL_CLK => CAL_CLK,
01277 RESET => RESET90,
01278 DATA_DLYINC => data_idelay_inc (1),
01279 DATA_DLYCE => data_idelay_ce(1),
01280 DATA_DLYRST => data_idelay_rst (1),
01281 WRITE_DATA_RISE => wr_data_rise(51),
01282 WRITE_DATA_FALL => wr_data_fall(51),
01283 CTRL_WREN => wr_en,
01284 DDR_DQ => DDR_DQ(51),
01285 READ_DATA_RISE => rd_data_rise(51),
01286 READ_DATA_FALL => rd_data_fall(51)
01287 );
01288
01289
01290 v4_dq_iob_52 : ddr2_mem_v4_dq_iob
01291 port map (
01292 CLK => CLK,
01293 CLK90 => CLK90,
01294 CAL_CLK => CAL_CLK,
01295 RESET => RESET90,
01296 DATA_DLYINC => data_idelay_inc (1),
01297 DATA_DLYCE => data_idelay_ce(1),
01298 DATA_DLYRST => data_idelay_rst (1),
01299 WRITE_DATA_RISE => wr_data_rise(52),
01300 WRITE_DATA_FALL => wr_data_fall(52),
01301 CTRL_WREN => wr_en,
01302 DDR_DQ => DDR_DQ(52),
01303 READ_DATA_RISE => rd_data_rise(52),
01304 READ_DATA_FALL => rd_data_fall(52)
01305 );
01306
01307
01308 v4_dq_iob_53 : ddr2_mem_v4_dq_iob
01309 port map (
01310 CLK => CLK,
01311 CLK90 => CLK90,
01312 CAL_CLK => CAL_CLK,
01313 RESET => RESET90,
01314 DATA_DLYINC => data_idelay_inc (1),
01315 DATA_DLYCE => data_idelay_ce(1),
01316 DATA_DLYRST => data_idelay_rst (1),
01317 WRITE_DATA_RISE => wr_data_rise(53),
01318 WRITE_DATA_FALL => wr_data_fall(53),
01319 CTRL_WREN => wr_en,
01320 DDR_DQ => DDR_DQ(53),
01321 READ_DATA_RISE => rd_data_rise(53),
01322 READ_DATA_FALL => rd_data_fall(53)
01323 );
01324
01325
01326 v4_dq_iob_54 : ddr2_mem_v4_dq_iob
01327 port map (
01328 CLK => CLK,
01329 CLK90 => CLK90,
01330 CAL_CLK => CAL_CLK,
01331 RESET => RESET90,
01332 DATA_DLYINC => data_idelay_inc (1),
01333 DATA_DLYCE => data_idelay_ce(1),
01334 DATA_DLYRST => data_idelay_rst (1),
01335 WRITE_DATA_RISE => wr_data_rise(54),
01336 WRITE_DATA_FALL => wr_data_fall(54),
01337 CTRL_WREN => wr_en,
01338 DDR_DQ => DDR_DQ(54),
01339 READ_DATA_RISE => rd_data_rise(54),
01340 READ_DATA_FALL => rd_data_fall(54)
01341 );
01342
01343
01344 v4_dq_iob_55 : ddr2_mem_v4_dq_iob
01345 port map (
01346 CLK => CLK,
01347 CLK90 => CLK90,
01348 CAL_CLK => CAL_CLK,
01349 RESET => RESET90,
01350 DATA_DLYINC => data_idelay_inc (1),
01351 DATA_DLYCE => data_idelay_ce(1),
01352 DATA_DLYRST => data_idelay_rst (1),
01353 WRITE_DATA_RISE => wr_data_rise(55),
01354 WRITE_DATA_FALL => wr_data_fall(55),
01355 CTRL_WREN => wr_en,
01356 DDR_DQ => DDR_DQ(55),
01357 READ_DATA_RISE => rd_data_rise(55),
01358 READ_DATA_FALL => rd_data_fall(55)
01359 );
01360
01361
01362 v4_dq_iob_56 : ddr2_mem_v4_dq_iob
01363 port map (
01364 CLK => CLK,
01365 CLK90 => CLK90,
01366 CAL_CLK => CAL_CLK,
01367 RESET => RESET90,
01368 DATA_DLYINC => data_idelay_inc (1),
01369 DATA_DLYCE => data_idelay_ce(1),
01370 DATA_DLYRST => data_idelay_rst (1),
01371 WRITE_DATA_RISE => wr_data_rise(56),
01372 WRITE_DATA_FALL => wr_data_fall(56),
01373 CTRL_WREN => wr_en,
01374 DDR_DQ => DDR_DQ(56),
01375 READ_DATA_RISE => rd_data_rise(56),
01376 READ_DATA_FALL => rd_data_fall(56)
01377 );
01378
01379
01380 v4_dq_iob_57 : ddr2_mem_v4_dq_iob
01381 port map (
01382 CLK => CLK,
01383 CLK90 => CLK90,
01384 CAL_CLK => CAL_CLK,
01385 RESET => RESET90,
01386 DATA_DLYINC => data_idelay_inc (1),
01387 DATA_DLYCE => data_idelay_ce(1),
01388 DATA_DLYRST => data_idelay_rst (1),
01389 WRITE_DATA_RISE => wr_data_rise(57),
01390 WRITE_DATA_FALL => wr_data_fall(57),
01391 CTRL_WREN => wr_en,
01392 DDR_DQ => DDR_DQ(57),
01393 READ_DATA_RISE => rd_data_rise(57),
01394 READ_DATA_FALL => rd_data_fall(57)
01395 );
01396
01397
01398 v4_dq_iob_58 : ddr2_mem_v4_dq_iob
01399 port map (
01400 CLK => CLK,
01401 CLK90 => CLK90,
01402 CAL_CLK => CAL_CLK,
01403 RESET => RESET90,
01404 DATA_DLYINC => data_idelay_inc (1),
01405 DATA_DLYCE => data_idelay_ce(1),
01406 DATA_DLYRST => data_idelay_rst (1),
01407 WRITE_DATA_RISE => wr_data_rise(58),
01408 WRITE_DATA_FALL => wr_data_fall(58),
01409 CTRL_WREN => wr_en,
01410 DDR_DQ => DDR_DQ(58),
01411 READ_DATA_RISE => rd_data_rise(58),
01412 READ_DATA_FALL => rd_data_fall(58)
01413 );
01414
01415
01416 v4_dq_iob_59 : ddr2_mem_v4_dq_iob
01417 port map (
01418 CLK => CLK,
01419 CLK90 => CLK90,
01420 CAL_CLK => CAL_CLK,
01421 RESET => RESET90,
01422 DATA_DLYINC => data_idelay_inc (1),
01423 DATA_DLYCE => data_idelay_ce(1),
01424 DATA_DLYRST => data_idelay_rst (1),
01425 WRITE_DATA_RISE => wr_data_rise(59),
01426 WRITE_DATA_FALL => wr_data_fall(59),
01427 CTRL_WREN => wr_en,
01428 DDR_DQ => DDR_DQ(59),
01429 READ_DATA_RISE => rd_data_rise(59),
01430 READ_DATA_FALL => rd_data_fall(59)
01431 );
01432
01433
01434 v4_dq_iob_60 : ddr2_mem_v4_dq_iob
01435 port map (
01436 CLK => CLK,
01437 CLK90 => CLK90,
01438 CAL_CLK => CAL_CLK,
01439 RESET => RESET90,
01440 DATA_DLYINC => data_idelay_inc (1),
01441 DATA_DLYCE => data_idelay_ce(1),
01442 DATA_DLYRST => data_idelay_rst (1),
01443 WRITE_DATA_RISE => wr_data_rise(60),
01444 WRITE_DATA_FALL => wr_data_fall(60),
01445 CTRL_WREN => wr_en,
01446 DDR_DQ => DDR_DQ(60),
01447 READ_DATA_RISE => rd_data_rise(60),
01448 READ_DATA_FALL => rd_data_fall(60)
01449 );
01450
01451
01452 v4_dq_iob_61 : ddr2_mem_v4_dq_iob
01453 port map (
01454 CLK => CLK,
01455 CLK90 => CLK90,
01456 CAL_CLK => CAL_CLK,
01457 RESET => RESET90,
01458 DATA_DLYINC => data_idelay_inc (1),
01459 DATA_DLYCE => data_idelay_ce(1),
01460 DATA_DLYRST => data_idelay_rst (1),
01461 WRITE_DATA_RISE => wr_data_rise(61),
01462 WRITE_DATA_FALL => wr_data_fall(61),
01463 CTRL_WREN => wr_en,
01464 DDR_DQ => DDR_DQ(61),
01465 READ_DATA_RISE => rd_data_rise(61),
01466 READ_DATA_FALL => rd_data_fall(61)
01467 );
01468
01469
01470 v4_dq_iob_62 : ddr2_mem_v4_dq_iob
01471 port map (
01472 CLK => CLK,
01473 CLK90 => CLK90,
01474 CAL_CLK => CAL_CLK,
01475 RESET => RESET90,
01476 DATA_DLYINC => data_idelay_inc (1),
01477 DATA_DLYCE => data_idelay_ce(1),
01478 DATA_DLYRST => data_idelay_rst (1),
01479 WRITE_DATA_RISE => wr_data_rise(62),
01480 WRITE_DATA_FALL => wr_data_fall(62),
01481 CTRL_WREN => wr_en,
01482 DDR_DQ => DDR_DQ(62),
01483 READ_DATA_RISE => rd_data_rise(62),
01484 READ_DATA_FALL => rd_data_fall(62)
01485 );
01486
01487
01488 v4_dq_iob_63 : ddr2_mem_v4_dq_iob
01489 port map (
01490 CLK => CLK,
01491 CLK90 => CLK90,
01492 CAL_CLK => CAL_CLK,
01493 RESET => RESET90,
01494 DATA_DLYINC => data_idelay_inc (1),
01495 DATA_DLYCE => data_idelay_ce(1),
01496 DATA_DLYRST => data_idelay_rst (1),
01497 WRITE_DATA_RISE => wr_data_rise(63),
01498 WRITE_DATA_FALL => wr_data_fall(63),
01499 CTRL_WREN => wr_en,
01500 DDR_DQ => DDR_DQ(63),
01501 READ_DATA_RISE => rd_data_rise(63),
01502 READ_DATA_FALL => rd_data_fall(63)
01503 );
01504
01505 end arc_data_path_iobs;