00001 --**************************************************************
00002 --* *
00003 --* The source code for the ATLAS BCM "AAA" FPGA is made *
00004 --* available via the GNU General Public License (GPL) *
00005 --* unless otherwise stated below. *
00006 --* *
00007 --* In case of problems/questions/bug reports etc. please *
00008 --* contact michael.niegl@cern.ch *
00009 --* *
00010 --**************************************************************
00011
00012 --**************************************************************
00013 --* *
00014 --* $Source: /local/reps/bcmfpga/bcm_aaa/bcm_aaa/ddr2/ddr2_mem_controller_iobs_0.vhd,v $
00015 --* $Revision: 1.3.2.4 $ *
00016 --* $Name: dev $ *
00017 --* $Author: mniegl $ *
00018 --* $Date: 2008/11/03 21:55:52 $ *
00019
00020
00021 --* *
00022 --**************************************************************
00023 -------------------------------------------------------------------------------
00024 -- Copyright (c) 2005 Xilinx, Inc.
00025 -- This design is confidential and proprietary of Xilinx, All Rights Reserved.
00026 -------------------------------------------------------------------------------
00027 -- ____ ____
00028 -- / /\/ /
00029 -- /___/ \ / Vendor: Xilinx
00030 -- \ \ \/ Version: 1.6
00031 -- \ \ Application : MIG
00032 -- / / Filename: ddr2_mem_controller_iobs_0.vhd
00033 -- /___/ /\ Date Last Modified: Wed Jun 1 2005
00034 -- \ \ / \Date Created: Mon May 2 2005
00035 -- \___\/\___\
00036 --
00037 -- Device: Virtex-4
00038 -- Design Name: DDR2_V4
00039 -- Description :
00040 -------------------------------------------------------------------------------
00041
00042
00043 library ieee;
00044
00045 use ieee.std_logic_1164.all;
00046
00047 use ieee.std_logic_unsigned.all;
00048
00049 use ieee.numeric_std.all;
00050 library work;
00051 use work.ddr2_mem_parameters_0.all;
00052 -- pragma translate_off
00053
00054 library unisim;
00055
00056 use unisim.vcomponents.all;
00057 -- pragma translate_on
00058
00059
00060
00061
00062
00063 entity ddr2_mem_controller_iobs_0 is
00064 port (
00065 ctrl_ddr2_address : in (row_address-1 downto 0);
00066 ctrl_ddr2_ba : in (bank_address-1 downto 0);
00067 ctrl_ddr2_ras_L : in ;
00068 ctrl_ddr2_cas_L : in ;
00069 ctrl_ddr2_we_L : in ;
00070 ctrl_ddr2_cs_L : in ;
00071 ctrl_ddr2_cke : in ;
00072 ctrl_ddr2_odt : in ;
00073 DDR_ADDRESS : out (row_address-1 downto 0);
00074 DDR_BA : out (bank_address-1 downto 0);
00075 DDR_RAS_L : out ;
00076 DDR_CAS_L : out ;
00077 DDR_WE_L : out ;
00078 DDR_ODT : out ;
00079 DDR_CKE : out ;
00080 DDR_CS_L : out
00081 );
00082 end entity;
00083
00084
00085
00086
00087
00088 architecture arc_controller_iobs of ddr2_mem_controller_iobs_0 is
00089
00090
00091 component OBUF
00092 port(
00093 I : in ;
00094 O : out
00095 );
00096 end component;
00097
00098
00099 begin
00100
00101
00102 OBUF_ras : OBUF
00103 port map(
00104 I => ctrl_ddr2_ras_L,
00105 O => DDR_RAS_L
00106 );
00107
00108
00109 OBUF_cas : OBUF
00110 port map(
00111 I => ctrl_ddr2_cas_L,
00112 O => DDR_CAS_L
00113 );
00114
00115
00116 OBUF_we : OBUF
00117 port map(
00118 I => ctrl_ddr2_we_L,
00119 O => DDR_WE_L
00120 );
00121
00122
00123 OBUF_cs0 : OBUF
00124 port map (
00125 I => ctrl_ddr2_cs_L,
00126 O => ddr_cs_L
00127 );
00128
00129
00130 OBUF_cke0 : OBUF
00131 port map (
00132 I => ctrl_ddr2_cke,
00133 O => DDR_CKE
00134 );
00135
00136
00137 OBUF_odt0 : OBUF
00138 port map (
00139 I => ctrl_ddr2_odt,
00140 O => DDR_ODT
00141 );
00142
00143
00144 OBUF_r0 : OBUF
00145 port map (
00146 I => ctrl_ddr2_address(0),
00147 O => DDR_ADDRESS(0)
00148 );
00149
00150
00151 OBUF_r1 : OBUF
00152 port map (
00153 I => ctrl_ddr2_address(1),
00154 O => DDR_ADDRESS(1)
00155 );
00156
00157
00158 OBUF_r2 : OBUF
00159 port map (
00160 I => ctrl_ddr2_address(2),
00161 O => DDR_ADDRESS(2)
00162 );
00163
00164
00165 OBUF_r3 : OBUF
00166 port map (
00167 I => ctrl_ddr2_address(3),
00168 O => DDR_ADDRESS(3)
00169 );
00170
00171
00172 OBUF_r4 : OBUF
00173 port map (
00174 I => ctrl_ddr2_address(4),
00175 O => DDR_ADDRESS(4)
00176 );
00177
00178
00179 OBUF_r5 : OBUF
00180 port map (
00181 I => ctrl_ddr2_address(5),
00182 O => DDR_ADDRESS(5)
00183 );
00184
00185
00186 OBUF_r6 : OBUF
00187 port map (
00188 I => ctrl_ddr2_address(6),
00189 O => DDR_ADDRESS(6)
00190 );
00191
00192
00193 OBUF_r7 : OBUF
00194 port map (
00195 I => ctrl_ddr2_address(7),
00196 O => DDR_ADDRESS(7)
00197 );
00198
00199
00200 OBUF_r8 : OBUF
00201 port map (
00202 I => ctrl_ddr2_address(8),
00203 O => DDR_ADDRESS(8)
00204 );
00205
00206
00207 OBUF_r9 : OBUF
00208 port map (
00209 I => ctrl_ddr2_address(9),
00210 O => DDR_ADDRESS(9)
00211 );
00212
00213
00214 OBUF_r10 : OBUF
00215 port map (
00216 I => ctrl_ddr2_address(10),
00217 O => DDR_ADDRESS(10)
00218 );
00219
00220
00221 OBUF_r11 : OBUF
00222 port map (
00223 I => ctrl_ddr2_address(11),
00224 O => DDR_ADDRESS(11)
00225 );
00226
00227
00228 OBUF_r12 : OBUF
00229 port map (
00230 I => ctrl_ddr2_address(12),
00231 O => DDR_ADDRESS(12)
00232 );
00233
00234
00235 OBUF_r13 : OBUF
00236 port map (
00237 I => ctrl_ddr2_address(13),
00238 O => DDR_ADDRESS(13)
00239 );
00240
00241
00242 OBUF_b0 : OBUF
00243 port map (
00244 I => ctrl_ddr2_ba(0),
00245 O => DDR_BA(0)
00246 );
00247
00248
00249 OBUF_b1 : OBUF
00250 port map (
00251 I => ctrl_ddr2_ba(1),
00252 O => DDR_BA(1)
00253 );
00254
00255 end arc_controller_iobs;