00001 --**************************************************************
00002 --* *
00003 --* The source code for the ATLAS BCM "AAA" FPGA is made *
00004 --* available via the GNU General Public License (GPL) *
00005 --* unless otherwise stated below. *
00006 --* *
00007 --* In case of problems/questions/bug reports etc. please *
00008 --* contact michael.niegl@cern.ch *
00009 --* *
00010 --**************************************************************
00011
00012 --**************************************************************
00013 --* *
00014 --* $Source: /local/reps/bcmfpga/bcm_aaa/bcm_aaa/eth/Attic/ddr_chksum_accu.vhd,v $
00015 --* $Revision: 1.1.2.4 $ *
00016 --* $Name: dev $ *
00017 --* $Author: mniegl $ *
00018 --* $Date: 2008/11/03 17:57:46 $ *
00019
00020
00021
00022 --* *
00023 --**************************************************************
00024 --------------------------------------------------------------------------------
00025 -- Copyright (c) 1995-2007 Xilinx, Inc. All rights reserved.
00026 --------------------------------------------------------------------------------
00027 -- ____ ____
00028 -- / /\/ /
00029 -- /___/ \ / Vendor: Xilinx
00030 -- \ \ \/ Version : 9.2.04i
00031 -- \ \ Application : xaw2vhdl
00032 -- / / Filename : ddr_chksum_accu.vhd
00033 -- /___/ /\ Timestamp : 10/17/2008 11:01:52
00034 -- \ \ / \
00035 -- \___\/\___\
00036 --
00037 --Command: xaw2vhdl-st C:\FPGA\coregen\dsp_chksum\ddr_chksum_accu.xaw C:\FPGA\coregen\dsp_chksum\ddr_chksum_accu
00038 --Design Name: ddr_chksum_accu
00039 --Device: xc4vfx60-ff1152-11
00040 --
00041 -- Module ddr_chksum_accu
00042 -- Generated by Xilinx Architecture Wizard
00043 -- Written for synthesis tool: XST
00044
00045
00046 library ieee;
00047
00048 use ieee.std_logic_1164.all;
00049
00050 use ieee.numeric_std.all;
00051
00052 library unisim;
00053 use unisim.Vcomponents.all;
00054
00055
00056 entity ddr_chksum_accu is
00057 port
00058 (
00059 AB_IN : in (31 downto 0);
00060 CEA_IN : in ;
00061 CEB_IN : in ;
00062 CECTRL_IN : in ;
00063 CEM_IN : in ;
00064 CEP_IN : in ;
00065 CLK_IN : in ;
00066 LOAD_IN : in ;
00067 RSTA_IN : in ;
00068 RSTB_IN : in ;
00069 RSTCTRL_IN : in ;
00070 RSTM_IN : in ;
00071 RSTP_IN : in ;
00072 BCOUT_OUT : out (17 downto 0);
00073 PCOUT_OUT : out (47 downto 0);
00074 P_OUT : out (47 downto 0)
00075 );
00076 end ddr_chksum_accu;
00077
00078
00079 architecture BEHAVIORAL of ddr_chksum_accu is
00080 signal GND_BUS_2 : (1 downto 0);
00081 signal GND_BUS_18 : (17 downto 0);
00082 signal GND_BUS_48 : (47 downto 0);
00083 signal GND_OPMODE : ;
00084 signal VCC_OPMODE : ;
00085 begin
00086 GND_BUS_2(1 downto 0) <= "00";
00087 GND_BUS_18(17 downto 0) <= "000000000000000000";
00088 GND_BUS_48(47 downto 0) <=
00089 "000000000000000000000000000000000000000000000000";
00090 GND_OPMODE <= '0';
00091 VCC_OPMODE <= '1';
00092 DSP48_ACC : DSP48
00093 generic map
00094 (
00095 AREG => 1,
00096 BREG => 1,
00097 CREG => 0,
00098 PREG => 1,
00099 MREG => 1,
00100 OPMODEREG => 1,
00101 SUBTRACTREG => 0,
00102 CARRYINSELREG => 0,
00103 CARRYINREG => 0,
00104 B_INPUT => "DIRECT",
00105 LEGACY_MODE => "NONE")
00106 port map
00107 (
00108 A(17) => AB_IN(31),
00109 A(16) => AB_IN(31),
00110 A(15) => AB_IN(31),
00111 A(14) => AB_IN(31),
00112 A(13 downto 0) => AB_IN (31 downto 18),
00113 B(17 downto 0) => AB_IN (17 downto 0),
00114 BCIN(17 downto 0) => GND_BUS_18(17 downto 0),
00115 C(47 downto 0) => GND_BUS_48(47 downto 0),
00116 CARRYIN => GND_OPMODE,
00117 CARRYINSEL(1 downto 0) => GND_BUS_2(1 downto 0),
00118 CEA => CEA_IN,
00119 CEB => CEB_IN,
00120 CEC => VCC_OPMODE,
00121 CECARRYIN => GND_OPMODE ,
00122 CECINSUB => VCC_OPMODE,
00123 CECTRL => CECTRL_IN,
00124 CEM => CEM_IN,
00125 CEP => CEP_IN,
00126 CLK => CLK_IN,
00127 OPMODE(6) => GND_OPMODE ,
00128 OPMODE(5) => LOAD_IN ,
00129 OPMODE(4) => GND_OPMODE ,
00130 OPMODE(3) => GND_OPMODE ,
00131 OPMODE(2) => GND_OPMODE ,
00132 OPMODE(1) => VCC_OPMODE ,
00133 OPMODE(0) => VCC_OPMODE ,
00134 PCIN(47 downto 0) => GND_BUS_48(47 downto 0),
00135 RSTA => RSTA_IN,
00136 RSTB => RSTB_IN,
00137 RSTC => GND_OPMODE,
00138 RSTCARRYIN => GND_OPMODE ,
00139 RSTCTRL => RSTCTRL_IN,
00140 RSTM => RSTM_IN,
00141 RSTP => RSTP_IN,
00142 SUBTRACT => GND_OPMODE,
00143 BCOUT(17 downto 0) => BCOUT_OUT(17 downto 0),
00144 P(47 downto 0) => P_OUT (47 downto 0),
00145 PCOUT(47 downto 0) => PCOUT_OUT(47 downto 0)
00146 );
00147
00148 end BEHAVIORAL;
00149
00150