00001 --**************************************************************
00002 --* *
00003 --* The source code for the ATLAS BCM "AAA" FPGA is made *
00004 --* available via the GNU General Public License (GPL) *
00005 --* unless otherwise stated below. *
00006 --* *
00007 --* In case of problems/questions/bug reports etc. please *
00008 --* contact michael.niegl@cern.ch *
00009 --* *
00010 --**************************************************************
00011
00012 --**************************************************************
00013 --* *
00014 --* $Source: /local/reps/bcmfpga/bcm_aaa/bcm_aaa/ddr2/ddr2_mem_RAM_D_0.vhd,v $
00015 --* $Revision: 1.3.2.4 $ *
00016 --* $Name: dev $ *
00017 --* $Author: mniegl $ *
00018 --* $Date: 2008/11/03 21:23:00 $ *
00019
00020
00021 --* *
00022 --**************************************************************
00023 -------------------------------------------------------------------------------
00024 -- Copyright (c) 2005 Xilinx, Inc.
00025 -- This design is confidential and proprietary of Xilinx, All Rights Reserved.
00026 -------------------------------------------------------------------------------
00027 -- ____ ____
00028 -- / /\/ /
00029 -- /___/ \ / Vendor: Xilinx
00030 -- \ \ \/ Version: 1.6
00031 -- \ \ Application : MIG
00032 -- / / Filename: ddr2_mem_RAM_D_0.vhd
00033 -- /___/ /\ Date Last Modified: Wed Jun 1 2005
00034 -- \ \ / \Date Created: Mon May 2 2005
00035 -- \___\/\___\
00036 --
00037 -- Device: Virtex-4
00038 -- Design Name: DDR2_V4
00039 -------------------------------------------------------------------------------
00040
00041
00042 library ieee;
00043
00044 use ieee.std_logic_1164.all;
00045
00046 use ieee.std_logic_unsigned.all;
00047
00048 use ieee.numeric_std.all;
00049 library work;
00050 use work.ddr2_mem_parameters_0.all;
00051 -- pragma translate_off
00052
00053 library unisim;
00054
00055 use unisim.vcomponents.all;
00056 -- pragma translate_on
00057
00058
00059
00060
00061 entity ddr2_mem_RAM_D_0 is
00062 port (
00063 DPO : out (memory_width-1 downto 0);
00064 A0 : in ;
00065 A1 : in ;
00066 A2 : in ;
00067 A3 : in ;
00068 D : in (memory_width-1 downto 0);
00069 DPRA0 : in ;
00070 DPRA1 : in ;
00071 DPRA2 : in ;
00072 DPRA3 : in ;
00073 WCLK : in ;
00074 WE : in
00075 );
00076 end entity;
00077
00078
00079
00080
00081 architecture arc_RAM of ddr2_mem_RAM_D_0 is
00082
00083
00084 component RAM16X1D
00085 port (
00086 DPO : out ;
00087 SPO : out ;
00088 A0 : in ;
00089 A1 : in ;
00090 A2 : in ;
00091 A3 : in ;
00092 D : in ;
00093 DPRA0 : in ;
00094 DPRA1 : in ;
00095 DPRA2 : in ;
00096 DPRA3 : in ;
00097 WCLK : in ;
00098 WE : in
00099 );
00100 end component;
00101
00102 begin
00103
00104
00105 RAM16X1D0 : RAM16X1D
00106 port map (
00107 D => D (0),
00108 WE => WE ,
00109 WCLK => WCLK,
00110 A0 => A0 ,
00111 A1 => A1 ,
00112 A2 => A2 ,
00113 A3 => A3 ,
00114 DPRA0 => DPRA0,
00115 DPRA1 => DPRA1,
00116 DPRA2 => DPRA2,
00117 DPRA3 => DPRA3,
00118 SPO => open,
00119 DPO => DPO (0)
00120 );
00121
00122
00123 RAM16X1D1 : RAM16X1D
00124 port map (
00125 D => D (1),
00126 WE => WE ,
00127 WCLK => WCLK,
00128 A0 => A0 ,
00129 A1 => A1 ,
00130 A2 => A2 ,
00131 A3 => A3 ,
00132 DPRA0 => DPRA0,
00133 DPRA1 => DPRA1,
00134 DPRA2 => DPRA2,
00135 DPRA3 => DPRA3,
00136 SPO => open,
00137 DPO => DPO (1)
00138 );
00139
00140
00141 RAM16X1D2 : RAM16X1D
00142 port map (
00143 D => D (2),
00144 WE => WE ,
00145 WCLK => WCLK,
00146 A0 => A0 ,
00147 A1 => A1 ,
00148 A2 => A2 ,
00149 A3 => A3 ,
00150 DPRA0 => DPRA0,
00151 DPRA1 => DPRA1,
00152 DPRA2 => DPRA2,
00153 DPRA3 => DPRA3,
00154 SPO => open,
00155 DPO => DPO (2)
00156 );
00157
00158
00159 RAM16X1D3 : RAM16X1D
00160 port map (
00161 D => D (3),
00162 WE => WE ,
00163 WCLK => WCLK,
00164 A0 => A0 ,
00165 A1 => A1 ,
00166 A2 => A2 ,
00167 A3 => A3 ,
00168 DPRA0 => DPRA0,
00169 DPRA1 => DPRA1,
00170 DPRA2 => DPRA2,
00171 DPRA3 => DPRA3,
00172 SPO => open,
00173 DPO => DPO (3)
00174 );
00175
00176
00177 RAM16X1D4 : RAM16X1D
00178 port map (
00179 D => D (4),
00180 WE => WE ,
00181 WCLK => WCLK,
00182 A0 => A0 ,
00183 A1 => A1 ,
00184 A2 => A2 ,
00185 A3 => A3 ,
00186 DPRA0 => DPRA0,
00187 DPRA1 => DPRA1,
00188 DPRA2 => DPRA2,
00189 DPRA3 => DPRA3,
00190 SPO => open,
00191 DPO => DPO (4)
00192 );
00193
00194
00195 RAM16X1D5 : RAM16X1D
00196 port map (
00197 D => D (5),
00198 WE => WE ,
00199 WCLK => WCLK,
00200 A0 => A0 ,
00201 A1 => A1 ,
00202 A2 => A2 ,
00203 A3 => A3 ,
00204 DPRA0 => DPRA0,
00205 DPRA1 => DPRA1,
00206 DPRA2 => DPRA2,
00207 DPRA3 => DPRA3,
00208 SPO => open,
00209 DPO => DPO (5)
00210 );
00211
00212
00213 RAM16X1D6 : RAM16X1D
00214 port map (
00215 D => D (6),
00216 WE => WE ,
00217 WCLK => WCLK,
00218 A0 => A0 ,
00219 A1 => A1 ,
00220 A2 => A2 ,
00221 A3 => A3 ,
00222 DPRA0 => DPRA0,
00223 DPRA1 => DPRA1,
00224 DPRA2 => DPRA2,
00225 DPRA3 => DPRA3,
00226 SPO => open,
00227 DPO => DPO (6)
00228 );
00229
00230
00231 RAM16X1D7 : RAM16X1D
00232 port map (
00233 D => D (7),
00234 WE => WE ,
00235 WCLK => WCLK,
00236 A0 => A0 ,
00237 A1 => A1 ,
00238 A2 => A2 ,
00239 A3 => A3 ,
00240 DPRA0 => DPRA0,
00241 DPRA1 => DPRA1,
00242 DPRA2 => DPRA2,
00243 DPRA3 => DPRA3,
00244 SPO => open,
00245 DPO => DPO (7)
00246 );
00247
00248 end arc_RAM;