00001 --**************************************************************
00002 --* *
00003 --* The source code for the ATLAS BCM "AAA" FPGA is made *
00004 --* available via the GNU General Public License (GPL) *
00005 --* unless otherwise stated below. *
00006 --* *
00007 --* In case of problems/questions/bug reports etc. please *
00008 --* contact michael.niegl@cern.ch *
00009 --* *
00010 --**************************************************************
00011
00012 --**************************************************************
00013 --* *
00014 --* $Source: /local/reps/bcmfpga/bcm_aaa/bcm_aaa/ddr/mem_interface_top_idelay_ctrl.vhd,v $
00015 --* $Revision: 1.3.2.3 $ *
00016 --* $Name: dev $ *
00017 --* $Author: mniegl $ *
00018 --* $Date: 2008/11/03 17:57:43 $ *
00019
00020
00021 --* *
00022 --**************************************************************
00023 -------------------------------------------------------------------------------
00024 -- Copyright (c) 2005 Xilinx, Inc.
00025 -- This design is confidential and proprietary of Xilinx, All Rights Reserved.
00026 -------------------------------------------------------------------------------
00027 -- ____ ____
00028 -- / /\/ /
00029 -- /___/ \ / Vendor: Xilinx
00030 -- \ \ \/ Version: 1.6
00031 -- \ \ Application : MIG
00032 -- / / Filename: mem_interface_top_idelay_ctrl.vhd
00033 -- /___/ /\ Date Last Modified: Wed Jun 1 2005
00034 -- \ \ / \Date Created: Mon May 2 2005
00035 -- \___\/\___\
00036 -- Device: Virtex-4
00037 -- Design Name: DDR1_SDRAM
00038 -------------------------------------------------------------------------------
00039
00040
00041 library ieee;
00042
00043 use ieee.std_logic_1164.all;
00044
00045 library unisim;
00046
00047 use unisim.vcomponents.all;
00048
00049
00050
00051
00052
00053 entity mem_interface_top_idelay_ctrl is
00054 port(CLK200 : in ;
00055 RESET : in ;
00056 RDY_STATUS : out
00057 );
00058 end mem_interface_top_idelay_ctrl;
00059
00060
00061
00062
00063
00064 architecture arch of mem_interface_top_idelay_ctrl is
00065
00066
00067 component IDELAYCTRL
00068 port(RDY : out ;
00069 REFCLK : in ;
00070 RST : in
00071 );
00072 end component;
00073
00074 begin
00075
00076 idelayctrl0 : IDELAYCTRL port map
00077 (RDY => RDY_STATUS,
00078 REFCLK => CLK200,
00079 RST => RESET
00080 );
00081
00082 end arch;