00001 --**************************************************************
00002 --* *
00003 --* The source code for the ATLAS BCM "AAA" FPGA is made *
00004 --* available via the GNU General Public License (GPL) *
00005 --* unless otherwise stated below. *
00006 --* *
00007 --* In case of problems/questions/bug reports etc. please *
00008 --* contact michael.niegl@cern.ch *
00009 --* *
00010 --**************************************************************
00011
00012 --**************************************************************
00013 --* *
00014 --* $Source: /local/reps/bcmfpga/bcm_aaa/bcm_aaa/div/dss_comm.vhd,v $
00015 --* $Revision: 1.4.2.3 $ *
00016 --* $Name: dev $ *
00017 --* $Author: mniegl $ *
00018 --* $Date: 2008/11/03 17:57:45 $ *
00019
00020
00021 --* *
00022 --**************************************************************
00023
00024
00025 library ieee;
00026
00027 use ieee.std_logic_1164.all;
00028
00029
00030
00031
00032
00033 entity dss_comm is
00034
00035 port (
00036 CLK : in ;
00037 RESET : in ;
00038 SET : in (1 downto 0);
00039 SET_EN : in (1 downto 0);
00040 DSS_ABORT_1 : out ;
00041 DSS_ABORT_2 : out ;
00042 DSS_WARNING_1 : out ;
00043 DSS_WARNING_2 : out
00044 );
00045
00046 end dss_comm;
00047
00048
00049
00050
00051 architecture dss_comm_arc of dss_comm is
00052
00053 begin -- dss_comm_arc
00054
00055
00056 abort_set : process (CLK, RESET)
00057 begin -- process ctp_set
00058 if RESET = '1' then -- asynchronous reset (active high)
00059 DSS_ABORT_1 <= '0';
00060 DSS_ABORT_2 <= '0';
00061 elsif CLK'event and CLK = '1' then -- rising clock edge
00062 if SET_EN(1) = '1' then
00063 DSS_ABORT_1 <= SET(1);
00064 DSS_ABORT_2 <= SET(1);
00065 end if;
00066 end if;
00067 end process abort_set;
00068
00069
00070 warn_set : process (CLK, RESET)
00071 begin -- process ctp_set
00072 if RESET = '1' then -- asynchronous reset (active high)
00073 DSS_WARNING_1 <= '0';
00074 DSS_WARNING_2 <= '0';
00075 elsif CLK'event and CLK = '1' then -- rising clock edge
00076 if SET_EN(0) = '1' then
00077 DSS_WARNING_1 <= SET(0);
00078 DSS_WARNING_2 <= SET(0);
00079 end if;
00080 end if;
00081 end process warn_set;
00082
00083 end dss_comm_arc;