00001 --**************************************************************
00002 --* *
00003 --* The source code for the ATLAS BCM "AAA" FPGA is made *
00004 --* available via the GNU General Public License (GPL) *
00005 --* unless otherwise stated below. *
00006 --* *
00007 --* In case of problems/questions/bug reports etc. please *
00008 --* contact michael.niegl@cern.ch *
00009 --* *
00010 --**************************************************************
00011
00012 --**************************************************************
00013 --* *
00014 --* $Source: /local/reps/bcmfpga/bcm_aaa/bcm_aaa/lcd/buffer_3ST.vhd,v $
00015 --* $Revision: 1.4.2.3 $ *
00016 --* $Name: dev $ *
00017 --* $Author: mniegl $ *
00018 --* $Date: 2008/11/03 17:57:46 $ *
00019
00020
00021 --* *
00022 --**************************************************************
00023
00024 library ieee;
00025
00026 use ieee.std_logic_1164.all;
00027
00028
00029
00030
00031 entity buffer_3ST is
00032 port (D_IN : in (7 downto 0);
00033 D_OUT : out (7 downto 0);
00034 ENABLE : in
00035 );
00036 end buffer_3ST;
00037
00038
00039
00040
00041 architecture buffer_3ST_arc of buffer_3ST is
00042
00043 begin
00044
00045 D_OUT <= D_IN when ENABLE = '1' else "ZZZZZZZZ";
00046
00047 end buffer_3ST_arc;
00048