00001 --**************************************************************
00002 --* *
00003 --* The source code for the ATLAS BCM "AAA" FPGA is made *
00004 --* available via the GNU General Public License (GPL) *
00005 --* unless otherwise stated below. *
00006 --* *
00007 --* In case of problems/questions/bug reports etc. please *
00008 --* contact michael.niegl@cern.ch *
00009 --* *
00010 --**************************************************************
00011
00012 --**************************************************************
00013 --* *
00014 --* $Source: /local/reps/bcmfpga/bcm_aaa/bcm_aaa/rio/mgt_clock_module.vhd,v $
00015 --* $Revision: 1.4.2.5 $ *
00016 --* $Name: dev $ *
00017 --* $Author: mniegl $ *
00018 --* $Date: 2008/11/03 17:57:48 $ *
00019
00020
00021 --* *
00022 --**************************************************************
00023 -------------------------------------------------------------------------------
00024 --$Date: 2008/11/03 17:57:48 $
00025 --$RCSfile: mgt_clock_module.vhd,v $
00026 --$Revision: 1.4.2.5 $
00027 --------------------------------------------------------------------------------
00028
00029
00030 library ieee;
00031
00032 use ieee.std_logic_1164.all;
00033
00034 use ieee.numeric_std.all;
00035 -- synopsys translate_off
00036
00037 library unisim;
00038 use unisim.all;
00039 -- synopsys translate_on
00040
00041 --******************************* Entity Declaration***************************
00042
00043
00044
00045
00046
00047
00048
00049
00050
00051
00052
00053
00054 entity MGT_CLOCK_MODULE is
00055 port
00056 (
00057 ------------------- Input Differential Clocks from Pads -------------------
00058 UPPER_MGTCLK_PAD_N_IN : in ;
00059 UPPER_MGTCLK_PAD_P_IN : in ;
00060 ------------------------- Output Reference Clocks -------------------------
00061 REFCLK1_OUT : out
00062
00063 );
00064 end MGT_CLOCK_MODULE;
00065
00066
00067 architecture RTL of MGT_CLOCK_MODULE is
00068
00069 --**************************** Component Declarations ***************************
00070
00071
00072 component GT11CLK
00073 generic
00074 (
00075 REFCLKSEL : := "MGTCLK";
00076 SYNCLK1OUTEN : := "ENABLE";
00077 SYNCLK2OUTEN : := "DISABLE"
00078 );
00079 port
00080 (
00081 SYNCLK1OUT : out ;
00082 SYNCLK2OUT : out ;
00083 MGTCLKN : in ;
00084 MGTCLKP : in ;
00085 REFCLK : in ;
00086 RXBCLK : in ;
00087 SYNCLK1IN : in ;
00088 SYNCLK2IN : in
00089 );
00090 end component;
00091
00092 --******************************* Beginning of Code****************************
00093
00094 begin
00095
00096 ------------ GT11CLK_MGT Instantiations for upper reference clocks --------
00097
00098
00099 upper_gt11clk_mgt_inst : GT11CLK
00100 generic map
00101 (
00102 SYNCLK1OUTEN => "ENABLE",
00103 SYNCLK2OUTEN => "DISABLE",
00104 REFCLKSEL => "MGTCLK"
00105 )
00106 port map
00107 (
00108 MGTCLKN => UPPER_MGTCLK_PAD_N_IN,
00109 MGTCLKP => UPPER_MGTCLK_PAD_P_IN,
00110 REFCLK => '0',
00111 RXBCLK => '0',
00112 SYNCLK1IN => '0',
00113 SYNCLK2IN => '0',
00114 SYNCLK1OUT => REFCLK1_OUT,
00115 SYNCLK2OUT => open
00116 );
00117
00118 end RTL;
00119