00001 --**************************************************************
00002 --* *
00003 --* The source code for the ATLAS BCM "AAA" FPGA is made *
00004 --* available via the GNU General Public License (GPL) *
00005 --* unless otherwise stated below. *
00006 --* *
00007 --* In case of problems/questions/bug reports etc. please *
00008 --* contact michael.niegl@cern.ch *
00009 --* *
00010 --**************************************************************
00011
00012 --**************************************************************
00013 --* *
00014 --* $Source: /local/reps/bcmfpga/bcm_aaa/bcm_aaa/div/lvl1_circ_buffer.vhd,v $
00015 --* $Revision: 1.6.2.4 $ *
00016 --* $Name: dev $ *
00017 --* $Author: mniegl $ *
00018 --* $Date: 2008/11/03 17:57:45 $ *
00019
00020
00021 --* *
00022 --**************************************************************
00023 --------------------------------------------------------------------------------
00024 -- This file is owned and controlled by Xilinx and must be used --
00025 -- solely for design, simulation, implementation and creation of --
00026 -- design files limited to Xilinx devices or technologies. Use --
00027 -- with non-Xilinx devices or technologies is expressly prohibited --
00028 -- and immediately terminates your license. --
00029 -- --
00030 -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
00031 -- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
00032 -- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
00033 -- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
00034 -- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
00035 -- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
00036 -- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
00037 -- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
00038 -- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
00039 -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
00040 -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
00041 -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
00042 -- FOR A PARTICULAR PURPOSE. --
00043 -- --
00044 -- Xilinx products are not intended for use in life support --
00045 -- appliances, devices, or systems. Use in such applications are --
00046 -- expressly prohibited. --
00047 -- --
00048 -- (c) Copyright 1995-2007 Xilinx, Inc. --
00049 -- All rights reserved. --
00050 --------------------------------------------------------------------------------
00051 -- You must compile the wrapper file lvl1_circ_buffer.vhd when simulating
00052 -- the core, lvl1_circ_buffer. When compiling the wrapper file, be sure to
00053 -- reference the XilinxCoreLib VHDL simulation library. For detailed
00054 -- instructions, please refer to the "CORE Generator Help".
00055
00056 -- The synthesis directives "translate_off/translate_on" specified
00057 -- below are supported by Xilinx, Mentor Graphics and Synplicity
00058 -- synthesis tools. Ensure they are correct for your synthesis tool(s).
00059
00060
00061 library ieee;
00062
00063 use ieee.std_logic_1164.all;
00064 -- synthesis translate_off
00065 library XilinxCoreLib;
00066 -- synthesis translate_on
00067
00068 entity lvl1_circ_buffer is
00069 port (
00070 addra : in (11 downto 0);
00071 addrb : in (11 downto 0);
00072 clka : in ;
00073 clkb : in ;
00074 dina : in (191 downto 0);
00075 doutb : out (191 downto 0);
00076 ena : in ;
00077 enb : in ;
00078 wea : in
00079 );
00080 end lvl1_circ_buffer;
00081
00082
00083 architecture lvl1_circ_buffer_a of lvl1_circ_buffer is
00084 -- synthesis translate_off
00085
00086 component wrapped_lvl1_circ_buffer
00087 port (
00088 addra : in (11 downto 0);
00089 addrb : in (11 downto 0);
00090 clka : in ;
00091 clkb : in ;
00092 dina : in (191 downto 0);
00093 doutb : out (191 downto 0);
00094 ena : in ;
00095 enb : in ;
00096 wea : in );
00097 end component;
00098
00099 -- Configuration specification
00100 for all : wrapped_lvl1_circ_buffer use entity XilinxCoreLib.blkmemdp_v6_3(behavioral)
00101 generic map(
00102 c_reg_inputsb => 0,
00103 c_reg_inputsa => 0,
00104 c_has_ndb => 0,
00105 c_has_nda => 0,
00106 c_ytop_addr => "1024",
00107 c_has_rfdb => 0,
00108 c_has_rfda => 0,
00109 c_ywea_is_high => 1,
00110 c_yena_is_high => 1,
00111 c_yclka_is_rising => 1,
00112 c_yhierarchy => "hierarchy1",
00113 c_ysinita_is_high => 1,
00114 c_ybottom_addr => "0",
00115 c_width_b => 192,
00116 c_width_a => 192,
00117 c_sinita_value => "0",
00118 c_sinitb_value => "0",
00119 c_limit_data_pitch => 18,
00120 c_write_modeb => 0,
00121 c_write_modea => 2,
00122 c_has_rdyb => 0,
00123 c_yuse_single_primitive => 0,
00124 c_has_rdya => 0,
00125 c_addra_width => 12,
00126 c_addrb_width => 12,
00127 c_has_limit_data_pitch => 0,
00128 c_default_data => "0",
00129 c_pipe_stages_b => 0,
00130 c_yweb_is_high => 1,
00131 c_yenb_is_high => 1,
00132 c_pipe_stages_a => 0,
00133 c_yclkb_is_rising => 1,
00134 c_yydisable_warnings => 1,
00135 c_enable_rlocs => 0,
00136 c_ysinitb_is_high => 1,
00137 c_has_web => 0,
00138 c_has_default_data => 1,
00139 c_has_sinitb => 0,
00140 c_has_wea => 1,
00141 c_has_sinita => 0,
00142 c_has_dinb => 0,
00143 c_has_dina => 1,
00144 c_ymake_bmm => 0,
00145 c_sim_collision_check => "NONE",
00146 c_has_enb => 1,
00147 c_has_ena => 1,
00148 c_depth_b => 4096,
00149 c_mem_init_file => "mif_file_16_1",
00150 c_depth_a => 4096,
00151 c_has_doutb => 1,
00152 c_has_douta => 0,
00153 c_yprimitive_type => "32kx1"
00154 );
00155 -- synthesis translate_on
00156 begin
00157 -- synthesis translate_off
00158
00159 U0 : wrapped_lvl1_circ_buffer
00160 port map (
00161 addra => addra,
00162 addrb => addrb,
00163 clka => clka,
00164 clkb => clkb,
00165 dina => dina,
00166 doutb => doutb,
00167 ena => ena ,
00168 enb => enb ,
00169 wea => wea
00170 );
00171 -- synthesis translate_on
00172
00173 end lvl1_circ_buffer_a;
00174