00001 --**************************************************************
00002 --* *
00003 --* The source code for the ATLAS BCM "AAA" FPGA is made *
00004 --* available via the GNU General Public License (GPL) *
00005 --* unless otherwise stated below. *
00006 --* *
00007 --* In case of problems/questions/bug reports etc. please *
00008 --* contact michael.niegl@cern.ch *
00009 --* *
00010 --**************************************************************
00011
00012 --**************************************************************
00013 --* *
00014 --* $Source: /local/reps/bcmfpga/bcm_aaa/bcm_aaa/coin/comparator_v9_0.vhd,v $
00015 --* $Revision: 1.3.2.4 $ *
00016 --* $Name: dev $ *
00017 --* $Author: mniegl $ *
00018 --* $Date: 2008/11/03 17:57:42 $ *
00019
00020
00021 --* *
00022 --**************************************************************
00023 --------------------------------------------------------------------------------
00024 -- This file is owned and controlled by Xilinx and must be used --
00025 -- solely for design, simulation, implementation and creation of --
00026 -- design files limited to Xilinx devices or technologies. Use --
00027 -- with non-Xilinx devices or technologies is expressly prohibited --
00028 -- and immediately terminates your license. --
00029 -- --
00030 -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
00031 -- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
00032 -- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
00033 -- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
00034 -- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
00035 -- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
00036 -- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
00037 -- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
00038 -- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
00039 -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
00040 -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
00041 -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
00042 -- FOR A PARTICULAR PURPOSE. --
00043 -- --
00044 -- Xilinx products are not intended for use in life support --
00045 -- appliances, devices, or systems. Use in such applications are --
00046 -- expressly prohibited. --
00047 -- --
00048 -- (c) Copyright 1995-2006 Xilinx, Inc. --
00049 -- All rights reserved. --
00050 --------------------------------------------------------------------------------
00051 -- You must compile the wrapper file comparator_v9_0.vhd when simulating
00052 -- the core, comparator_v9_0. When compiling the wrapper file, be sure to
00053 -- reference the XilinxCoreLib VHDL simulation library. For detailed
00054 -- instructions, please refer to the "CORE Generator Help".
00055
00056 -- The synopsys directives "translate_off/translate_on" specified
00057 -- below are supported by XST, FPGA Compiler II, Mentor Graphics and Synplicity
00058 -- synthesis tools. Ensure they are correct for your synthesis tool(s).
00059
00060 library ieee;
00061 use ieee.std_logic_1164.all;
00062 -- synopsys translate_off
00063 library XilinxCoreLib;
00064 -- synopsys translate_on
00065
00066 entity comparator_v9_0 is
00067 port (
00068 a : in (5 downto 0);
00069 b : in (5 downto 0);
00070 clk : in ;
00071 ce : in ;
00072 a_ge_b : out ;
00073 qa_ge_b : out
00074 );
00075 end comparator_v9_0;
00076
00077
00078 architecture comparator_v9_0_a of comparator_v9_0 is
00079 -- synopsys translate_off
00080
00081 component wrapped_comparator_v9_0
00082 port (
00083 a : in (5 downto 0);
00084 b : in (5 downto 0);
00085 clk : in ;
00086 ce : in ;
00087 a_ge_b : out ;
00088 qa_ge_b : out
00089 );
00090 end component;
00091
00092 -- Configuration specification
00093 for all : wrapped_comparator_v9_0 use entity XilinxCoreLib.c_compare_v9_0(behavioral)
00094 generic map(
00095 c_has_qa_ge_b => 1,
00096 c_has_aset => 0,
00097 c_has_qa_lt_b => 0,
00098 c_has_qa_ne_b => 0,
00099 c_has_a_gt_b => 0,
00100 c_has_a_eq_b => 0,
00101 c_data_type => 1,
00102 c_sync_priority => 1,
00103 c_has_sclr => 0,
00104 c_has_qa_gt_b => 0,
00105 c_width => 6,
00106 c_has_qa_eq_b => 0,
00107 c_enable_rlocs => 0,
00108 c_infered_rtl_const_compare => 0,
00109 c_carry_chain_eq_ne => 0,
00110 c_has_a_le_b => 0,
00111 c_ainit_val => "0",
00112 c_dense_lut_packing => 0,
00113 c_has_ce => 1,
00114 c_pipe_stages => 0,
00115 c_has_aclr => 0,
00116 c_sync_enable => 0,
00117 c_has_sset => 0,
00118 c_has_qa_le_b => 0,
00119 c_b_constant => 0,
00120 c_has_a_ge_b => 1,
00121 c_has_a_ne_b => 0,
00122 c_has_a_lt_b => 0,
00123 c_b_value => "0000000000000000"
00124 );
00125 -- synopsys translate_on
00126 begin
00127 -- synopsys translate_off
00128
00129 U0 : wrapped_comparator_v9_0
00130 port map (
00131 a => a ,
00132 b => b ,
00133 clk => clk ,
00134 ce => ce ,
00135 a_ge_b => a_ge_b,
00136 qa_ge_b => qa_ge_b
00137 );
00138 -- synopsys translate_on
00139
00140 end comparator_v9_0_a;
00141